рдирдИ рд▓реИрдм рдбрд┐рдЬрд┐рдЯрд▓ рд╕рдВрд╢реНрд▓реЗрд╖рдг рд╣реИрд░рд┐рд╕ рдмреБрдХ рдЬрд╛рд░реА рд░рдЦрддрд╛ рд╣реИ рдФрд░ FPGA рд╡реАрдбрд┐рдпреЛ рдЧреЗрдо рдмрдирд╛рдиреЗ рдореЗрдВ рдорджрдж рдХрд░рддрд╛ рд╣реИ



рдирдИ рдбрд┐рдЬрд┐рдЯрд▓ рд╕рд┐рдВрдереЗрд╕рд┐рд╕ рд▓реИрдм рдореЗрдВ рдбреЗрд╡рд┐рдб рд╣реИрд░рд┐рд╕ рдФрд░ рд╕рд╛рд░рд╛ рд╣реИрд░рд┐рд╕ рдХреЗ рдЯреНрдпреВрдЯреЛрд░рд┐рдпрд▓, рдбрд┐рдЬрд┐рдЯрд▓ рд╕рд░реНрдХрд┐рдЯрд░реА рдФрд░ рдХрдВрдкреНрдпреВрдЯрд░ рдЖрд░реНрдХрд┐рдЯреЗрдХреНрдЪрд░ рдХреА рдкрд░рдВрдкрд░рд╛ рдЬрд╛рд░реА рд╣реИ, рдЬрд┐рд╕рдиреЗ рдмреНрд░рд┐рдЯрд┐рд╢ рд╕рд╛рдЗрдЯ рдХреЛ рдбрд╛рдЙрдирд▓реЛрдб рдХрд┐рдпрд╛ рдерд╛ ред рд▓реИрдм рдЖрдкрдХреЛ рдПрдлрдкреАрдЬреАрдП рдмреЛрд░реНрдб рдкрд░ рд╣реИрд░рд┐рд╕ рдФрд░ рд╣реИрд░рд┐рд╕ рдХреЗ рд╕рдВрдкреВрд░реНрдг рд╕рд┐рджреНрдзрд╛рдВрдд рдХреЛ рдЪрдордХрддреА рд░реЛрд╢рдиреА рд╕реЗ рдкреНрд░реЛрд╕реЗрд╕рд░ рддрдХ рдЫреВрдиреЗ рдХреА рдЕрдиреБрдорддрд┐ рджреЗрддрд╛ рд╣реИред рдкреНрд░рдпреЛрдЧрд╢рд╛рд▓рд╛ рдореЗрдВ рдХрдиреНрд╡реЗрдпрд░ рдкреНрд░реЛрд╕реЗрд╕рд┐рдВрдЧ рдХреА рдЕрд╡рдзрд╛рд░рдгрд╛ рдХрд╛ рднреА рд╡рд┐рд╢реНрд▓реЗрд╖рдг рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИ, рдЬрд┐рд╕рдХреЗ рдмрд┐рдирд╛ рдЖрдк рдХрд┐рд╕реА рднреА рдорд╛рдЗрдХреНрд░реЛрдЗрд▓реЗрдХреНрдЯреНрд░реЙрдирд┐рдХ рдХрдВрдкрдиреА рдореЗрдВ рдбрд┐рдЬрд╛рдЗрдирд░ рдХреЗ рд░реВрдк рдореЗрдВ рдХрд╛рдо рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рд╕рд╛рдХреНрд╖рд╛рддреНрдХрд╛рд░ рдирд╣реАрдВ рдкрд╛рд╕ рдХрд░реЗрдВрдЧреЗред рдЕрдВрдд рдореЗрдВ, FPGA рд╕реЗ ASIC рддрдХ рдХрд╛ рд░рд╛рд╕реНрддрд╛, рдмрдбрд╝реЗ рдкреИрдорд╛рдиреЗ рдкрд░ рд╕рд░реНрдХрд┐рдЯ рдЬреЛ iPhones, Tesla рдФрд░ AI рддреНрд╡рд░рдХ рдореЗрдВ рд╣реИрдВред

рдкреБрд╕реНрддрдХ рдореЗрдВ рд╕реЗрдВрдЯ рдкреАрдЯрд░реНрд╕рдмрд░реНрдЧ рдХреА рдПрдХ рдЯреАрдо рдХреЗ рд╕рд╛рде рдПрдХ рд╕рд╛рдХреНрд╖рд╛рддреНрдХрд╛рд░ рд╣реИ рдЬрд┐рд╕реЗ рдЗрдВрдЯреЗрд▓ рдиреЗ рдЗрдиреЛрд╡реЗрдЯ рдПрдлрдкреАрдЬреАрдП рдкреНрд░рддрд┐рдпреЛрдЧрд┐рддрд╛ рдореЗрдВ рдЕрдкрдиреА рдЬреАрдд рдХреЗ рд▓рд┐рдП рд╕рд┐рд▓рд┐рдХреЙрди рд╡реИрд▓реА рдореЗрдВ рдЕрдкрдиреЗ рдореБрдЦреНрдпрд╛рд▓рдп рдореЗрдВ рд▓рд╛рдпрд╛ред рдкреБрд╕реНрддрдХ "рдбрд┐рдЬрд┐рдЯрд▓ рд╕рд┐рдВрдереЗрд╕рд┐рд╕: рдП рдкреНрд░реИрдХреНрдЯрд┐рдХрд▓ рдХреЛрд░реНрд╕"рдЪрд┐рдк рдбрд┐рдЬрд╛рдЗрди рдХреЗ рд╕реНрд╡рдЪрд╛рд▓рди рдореЗрдВ рдЕрдЧреНрд░рдгреА рдХрдВрдкрдиреА, рдХреИрдбреЗрдВрд╕ рдбрд┐рдЬрд╝рд╛рдЗрди рд╕рд┐рд╕реНрдЯрдореНрд╕, рд╕рдорд░реНрдерд┐рдд (рдКрдкрд░ рдХреА рддрд╕реНрд╡реАрд░ рдореЗрдВ, рд╕рд╛рдЗрдмреЗрд░рд┐рдпрд╛рдИ рдирддрд╛рд╢рд╛ рд╕рд┐рд▓рд┐рдХреЙрди рд╡реИрд▓реА рдореЗрдВ рддрд╛рд▓ рдХреЗ рдореБрдЦреНрдпрд╛рд▓рдп рдХреЗ рд╕рд╛рдордиреЗ рдПрдХ FPGA рдмреЛрд░реНрдб рдХреЗ рд╕рд╛рде рдЦрдбрд╝реА рд╣реИ - рдЙрд╕рдХрд╛ рд╡реАрдбрд┐рдпреЛ рдкреЛрд╕реНрдЯ рдореЗрдВ рд╣реЛрдЧрд╛)ред

Labnik рдХрд╛ рдирд┐рд░реНрдорд╛рдг рд╣рд╛рдпрд░ рд╕реНрдХреВрд▓ рдСрдлрд╝ рдЗрдХреЛрдиреЙрдорд┐рдХреНрд╕ / MIEM (рдЕрд▓реЗрдХреНрдЬреЗрдВрдбрд░ рд░реЛрдорд╛рдиреЛрд╡, рд╡реЗрд░реЛрдирд┐рдХрд╛ рдкреНрд░реЛрдЦреЛрд░реЛрд╡рд╛ рдФрд░ рдЗрдЧреЛрд░ рдЕрдЧрдорд┐рд░рдЬрд╝реНрдпрд╛рди) рдХреЗ рддрддреНрд╡рд╛рд╡рдзрд╛рди рдореЗрдВ рдХрд┐рдпрд╛ рдЧрдпрд╛ рдерд╛ , рдЬрдмрдХрд┐ рдорд╛рд╕реНрдХреЛ, рдХреАрд╡ рдФрд░ рд╕рдорд╛рд░рд╛ рд╡рд┐рд╢реНрд╡рд╡рд┐рджреНрдпрд╛рд▓рдпреЛрдВ, рд╕реЗрдВрдЯ рдкреАрдЯрд░реНрд╕рдмрд░реНрдЧ ITMO, рдЪреЗрд░реНрдирд╣рд┐рд╡ рдкреЙрд▓рд┐рдЯреЗрдХреНрдирд┐рдХ, рдФрд░ рдХреИрд▓рд┐рдлреЛрд░реНрдирд┐рдпрд╛ рд╕рд╛рдВрддрд╛ рдХреНрд▓рд╛рд░реНрдХ ( рдЪрд╛рд░реНрд▓реНрд╕ рдбрд╛рдВрдЪреЗ ) рдХреЗ рд╢рд┐рдХреНрд╖рдХреЛрдВ рджреНрд╡рд╛рд░рд╛ рдЕрд▓рдЧ-рдЕрд▓рдЧ рдЕрдзреНрдпрд╛рдп рд▓рд┐рдЦреЗ рдЧрдП рдереЗ ред рд╢рд╛рдо рдХрд╛рд░реНрдпрд╛рд▓рдп рд╕рд┐рд▓рд┐рдХреЙрди рд╡реИрд▓реА рдореЗрдВ)ред рдкрд╛рдареНрдпрдкреБрд╕реНрддрдХ рдХреЗ рдирд┐рд░реНрдорд╛рдг рдореЗрдВ рд░реВрд╕реА рдХрдВрдкрдиреА IVA Technologies (Stanislav Zhelnio, рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рдПрдХреНрд╕реЗрд▓реЗрд░реЗрдЯрд░ AI + рд╢реИрдХреНрд╖рд┐рдХ рдкрд░рд┐рдпреЛрдЬрдирд╛ schoolMIPS) рдХреЗ рдЗрдВрдЬреАрдирд┐рдпрд░реЛрдВ рдиреЗ рднрд╛рдЧ рд▓рд┐рдпрд╛ рдерд╛) рдФрд░ FSUE NPTSAP
(Roscosmos рд╡рд┐рднрд╛рдЧ), рдЕрдореЗрд░рд┐рдХреА рдХрдВрдкрдирд┐рдпреЛрдВ MIPS, рдЬреБрдирд┐рдкрд░ рдиреЗрдЯрд╡рд░реНрдХ рдФрд░ AMDред рдПрдХ рдкрд╛рдареНрдпрдкреБрд╕реНрддрдХ DMK- рдкреНрд░реЗрд╕ рдкреНрд░рдХрд╛рд╢рд┐рддред


рдореИрдВрдиреЗ рдкреНрд░рдпреЛрдЧрд╢рд╛рд▓рд╛ рдХреЗ рд▓рд┐рдП рдПрдХ рдпреЛрдЬрдирд╛ рддреИрдпрд╛рд░ рдХреА рдФрд░ рдкрд┐рдЫрд▓реЗ рд╢реИрдХреНрд╖рд┐рдХ рдкрд░рд┐рдпреЛрдЬрдирд╛рдУрдВ рдХреЗ рд▓реЗрдЦрдХреЛрдВ рдХреЛ рдЖрдХрд░реНрд╖рд┐рдд рдХрд┐рдпрд╛, рдЬрд┐рд╕рдХреЗ рдмрд╛рдж рдореИрдВрдиреЗ рдЕрд▓реЗрдХреНрдЬреЗрдВрдбрд░ рд░реЛрдорд╛рдиреЛрд╡ рдХреЛ рдирд┐рдпрдВрддреНрд░рдг рд╕реНрдерд╛рдирд╛рдВрддрд░рд┐рдд рдХрд░ рджрд┐рдпрд╛, рдЬрд┐рдиреНрд╣реЛрдВрдиреЗ рд▓рдЧрднрдЧ рджреЛ рд╡рд░реНрд╖реЛрдВ рддрдХ рдкрд░рд┐рдпреЛрдЬрдирд╛ рдХрд╛ рдкреНрд░рдмрдВрдзрди рдХрд░рддреЗ рд╣реБрдП рд╢реНрд░рдорд╕рд╛рдзреНрдп рдХрд╛рд░реНрдп рдХрд┐рдпрд╛ред рдкрд╛рдареНрдпрдкреБрд╕реНрддрдХ рдХрд╛ рдирд┐рд░реНрдорд╛рдг рд╕реНрд╡рд╛рднрд╛рд╡рд┐рдХ рд░реВрдк рд╕реЗ рдЕрд▓реЗрдХреНрдЬреЗрдВрдбрд░ рдХреА рдЧрддрд┐рд╡рд┐рдзрд┐рдпреЛрдВ рдореЗрдВ рдлрд┐рдЯ рд╣реЛрддрд╛ рд╣реИ , рдЬрд┐рд╕рдиреЗ 3 рд╕рд╛рд▓ рддрдХ рдПрдЪрдПрд╕рдИ рдПрдордЖрдИрдИрдПрдо рдореЗрдВ рдкреНрд░рдпреЛрдЧрд╢рд╛рд▓рд╛ рдХреЛ рдЙрдард╛рдпрд╛ , рдЬреЛ рдПрдореНрдмреЗрдбреЗрдб рдХрдВрдкреНрдпреВрдЯрд░, рд░реЛрдмреЛрдЯрд┐рдХреНрд╕ рдФрд░ рдПрдлрдкреАрдЬреАрдП рд╕реЗ рд╕рдВрдмрдВрдзрд┐рдд рд╣реИред рд▓реИрдм рдиреЗ рдЗрдиреЛрд╡реЗрдЯ рдПрдлрдкреАрдЬреАрдП рдореЗрдВ рдХрдИ рдЯреАрдореЛрдВ рдХрд╛ рдЖрдпреЛрдЬрди рдХрд┐рдпрд╛ , рдЬрд┐рдирдореЗрдВ рд╕реЗ рдПрдХ рдХреНрд╖реЗрддреНрд░реАрдп рдлрд╛рдЗрдирд▓ рдореЗрдВ рдХрд╛рдВрд╕реНрдп рдкрджрдХ рд╡рд┐рдЬреЗрддрд╛ рдмрдиреА ред

рдкрд╛рдареНрдпрдкреБрд╕реНрддрдХ рди рдХреЗрд╡рд▓ рдЫрд╛рддреНрд░реЛрдВ рдХреЗ рд▓рд┐рдП рдЙрдкрдпреБрдХреНрдд рд╣реИ - рдЗрд╕рдХрд╛ рдЙрдкрдпреЛрдЧ рдЙрдиреНрдирдд рдЫрд╛рддреНрд░реЛрдВ рдХреЗ рд▓рд┐рдП рдбрд┐рдЬрд┐рдЯрд▓ рдбрд┐рдЬрд╛рдЗрди рд╕рдорд░ рдХреИрдВрдк рдХреЗ рд▓рд┐рдП рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИред рдЗрдВрдЯреЗрд▓ рдХреНрд╡рд╛рд░реНрдЯрд╕ II рдкрд░реНрдпрд╛рд╡рд░рдг рдХреЗ рд╕рд╛рде рдХрд╛рдо рдХрд░рдиреЗ рдХреЗ рддрд░реАрдХреЗ рдкрд░ рдЦрд░реЛрдВрдЪ рд╕реЗ рдЗрдВрдЯрд░рдиреЗрдЯ рдкрд░ рдЯреНрдпреВрдЯреЛрд░рд┐рдпрд▓ рд╕рдордЭрдирд╛ рддреБрдЪреНрдЫ рдирд╣реАрдВ рд╣реИред рдФрд░ рдкрд╛рдареНрдпрдкреБрд╕реНрддрдХ рдореЗрдВ рдпрд╣ рд╕рднреА рдЪрд░рдгреЛрдВ рдореЗрдВ рд╡рд░реНрдгрд┐рдд рд╣реИред рдЗрд╕рдХреЗ рдЕрд▓рд╛рд╡рд╛, рдпрд╣ рд╕реНрдХреВрд▓реА рдмрдЪреНрдЪреЛрдВ рдХреЗ рд▓рд┐рдП рдХреБрдЫ рджрд┐рд▓рдЪрд╕реНрдк рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рд╕рднреА рд╕реИрджреНрдзрд╛рдВрддрд┐рдХ рдЖрдзрд╛рд░ рд╣реИ, рдЪрдордХрддреА рд░реЛрд╢рдиреА рдХреЗ рдЕрд▓рд╛рд╡рд╛ рдЬреЛ рдЙрдиреНрд╣реЗрдВ рдЬрд▓реНрджреА рд╕реЗ рдкрд░реЗрд╢рд╛рди рдХрд░рддреЗ рд╣реИрдВред рджрд┐рд▓рдЪрд╕реНрдк рд╣реИ рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП рдПрдХ рд╡реАрдбрд┐рдпреЛ рдЧреЗрдо:



рд╡реАрдЬреАрдП рдЧреЗрдо рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ рд╡реЗрд░рд┐рд▓реЛ рд╕реАрдЦрдиреЗ рдХрд╛ рд╡рд┐рдЪрд╛рд░ рдирдпрд╛ рдирд╣реАрдВ рд╣реИ - рдХрдИ рд╡рд┐рд╢реНрд╡рд╡рд┐рджреНрдпрд╛рд▓рдпреЛрдВ рдореЗрдВ рдРрд╕реА рдкреНрд░рдпреЛрдЧрд╢рд╛рд▓рд╛ рд╣реИ, рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, рдореИрд╕рд╛рдЪреБрд╕реЗрдЯреНрд╕ рдЗрдВрд╕реНрдЯреАрдЯреНрдпреВрдЯ рдСрдл рдЯреЗрдХреНрдиреЛрд▓реЙрдЬреА (рдПрдордЖрдИрдЯреА) 6.111 рдЗрдВрдЯреНрд░реЛрдбрдХреНрдЯрд░реА рдбрд┐рдЬрд┐рдЯрд▓ рд╕рд┐рд╕реНрдЯрдо рдкреНрд░рдпреЛрдЧрд╢рд╛рд▓рд╛ред рдЗрд╕рдХреЗ рдЕрд▓рд╛рд╡рд╛, рджреЛ рд╕рд╛рд▓ рдкрд╣рд▓реЗ, рд╕реНрдЯреАрд╡рди рд╣рдЧ рджреНрд╡рд╛рд░рд╛ рд╡реЗрд░рд┐рд▓реЙрдЧ рдкреЗрдкрд░рдмреИрдХ рдореЗрдВ рдбрд┐рдЬрд╛рдЗрдирд┐рдВрдЧ рд╡реАрдбрд┐рдпреЛ рдЧреЗрдо рд╣рд╛рд░реНрдбрд╡реЗрдпрд░, рдЯреИрдВрдХ, рд░реЗрд╕ рдХрд╛рд░реЛрдВ, рдПрд▓рдПрдлрдПрд╕рдЖрд░ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдХреЗ рдПрдХ рдЫрджреНрдо рдпрд╛рджреГрдЪреНрдЫрд┐рдХ рддрд╛рд░реЛрдВ рд╡рд╛рд▓реЗ рдЖрдХрд╛рд╢ рдЬрдирд░реЗрдЯрд░, рдПрдХ рдлреНрд░реЗрдо рдмрдлрд░, рдмрдлрд░ рдФрд░ рдпрд╣рд╛рдВ рддрдХ тАЛтАЛрдХрд┐ рдПрдХ рд╣рд╛рд░реНрдбрд╡реЗрдпрд░-рд╕реЙрдлреНрдЯрд╡реЗрдпрд░ рдЗрдВрдЯрд░рдлрд╝реЗрд╕ рдХреЗ рд╕рд╛рде рдкреНрд░рдХрд╛рд╢рд┐рдд рдХрд┐рдпрд╛ рдЧрдпрд╛ рдерд╛ред рдЗрд╕рдХреЗ рдЕрд▓рд╛рд╡рд╛, рд╡реАрдЬреАрдП рдЧреЗрдо FPGAs Xilinx рдФрд░ Intel / Altera рдХреЗ рдирд┐рд░реНрдорд╛рддрд╛рдУрдВ рд╕реЗ рдбреЗрдореЛ рдореЗрдВ рд╣реИрдВ, рдЬреЛ рдЙрдирдХреА рд╕рд╣рдпреЛрдЧреА рдХрдВрдкрдирд┐рдпреЛрдВ - Digilent рдФрд░ Terasic рд╕реЗ рдЕрдзрд┐рдХ рд╕рдЯреАрдХ рд╣реИред



рд╡рд┐рд╢реЗрд╖ рд░реВрдк рд╕реЗ, рдПрдордЖрдИрдЯреА рд╕реЗ рдкреНрд░рдпреЛрдЧрд╢рд╛рд▓рд╛ рдореЗрдВрдпрд╣ рдмрд╣реБрдд рд╕реНрдкрд╖реНрдЯ рд░реВрдк рд╕реЗ рджрд┐рдЦрд╛рдпрд╛ рдЧрдпрд╛ рд╣реИ рдХрд┐ рдкреНрд░рджрд░реНрд╢рди рдкрд░ рдЖрдХрд░реНрд╖рд┐рдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдЖрдкрдХреЛ рдХреЗрд╡рд▓ рдПрдХ рдЖрд░реЗрдЦ рдХреЗ рд░реВрдк рдореЗрдВ рд▓рд╛рдЧреВ рдХрд░рдирд╛ рд╣реЛрдЧрд╛ рдЬреЛ рдПрдХ рдлрд╝рдВрдХреНрд╢рди рдХреЗ рдирд┐рд░реНрджреЗрд╢рд╛рдВрдХ X рдФрд░ Y рдХреЛ рдПрдХ рддрд░реНрдХ рдХреЗ рд░реВрдк рдореЗрдВ рд╕реНрдХреНрд░реАрди рдкрд░ рд▓реЗ рдЬрд╛рддрд╛ рд╣реИ рдФрд░ рдЙрд╕ рдмрд┐рдВрджреБ (RGB) рдХрд╛ рд░рдВрдЧ рд╡рд╛рдкрд╕ рдХрд░рддрд╛ рд╣реИред рд╕рд░рд▓рддрдо рдорд╛рдорд▓реЗ рдореЗрдВ, рдпрд╣ рдлрд╝рдВрдХреНрд╢рди рдПрдХ рд╕рдВрдпреЛрдЬрди рдлрд╝рдВрдХреНрд╢рди рд╣реИ, рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, рдРрд╕рд╛ рдлрд╝рдВрдХреНрд╢рди рдореЗрд▓рд╡рд┐рдЪ рдХреА рдЙрддреНрдХреГрд╖реНрдЯ рдХреГрддрд┐ рдХреЛ рдЖрдХрд░реНрд╖рд┐рдд рдХрд░реЗрдЧрд╛: "рд░рдВрдЧ рдХрд╛рд▓рд╛ рд╣реИ (рдЖрд░рдЬреАрдмреА = 0,0,0) рдпрджрд┐ x> = 200 рдФрд░ x <= 400 рдФрд░ y> = 200 рдФрд░ y 400, рдЕрдиреНрдпрдерд╛ рд╕рдлреЗрдж (RGB = 1,1,1)ред " рд╣рдо рдЖрдЧреЗ рдРрд╕реЗ рд╕рд░реНрдХрд┐рдЯ рдХреЛ "рдорд┐рдХреНрд╕рд░" рдХрд╣реЗрдВрдЧреЗ, рдХреНрдпреЛрдВрдХрд┐ рдпрд╣ рд╡рд┐рднрд┐рдиреНрди рдЫрд╡рд┐рдпреЛрдВ рдХреЗ рдЬрдирд░реЗрдЯрд░ рд╕реЗ "рдорд┐рдХреНрд╕" рдЗрдирдкреБрдЯ рдХрд░реЗрдЧрд╛ред

рдорд┐рдХреНрд╕рд░ рддрд░реНрдХ X рдФрд░ Y рд╕реНрд╡рдпрдВ рдЙрддреНрдкрдиреНрди рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП, рдПрдХ рд╕рд░рд▓ рджреЛ-рдХрд╛рдЙрдВрдЯрд░ рд╕рд░реНрдХрд┐рдЯ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ рдЬреЛ рд╕реАрдПрд▓рдХреЗ рдШрдбрд╝реА рд╕рдВрдХреЗрдд рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддрд╛ рд╣реИред X рдФрд░ Y рдирд┐рд░реНрджреЗрд╢рд╛рдВрдХ рдХреЗ рдЕрд▓рд╛рд╡рд╛, рдпрд╣ рд╕рд░реНрдХрд┐рдЯ VGA рдбрд┐рд╕реНрдкреНрд▓реЗ рдХреЗ рд▓рд┐рдП HSYNC рдФрд░ VSYNC рд╕рд┐рдЧреНрдирд▓ рднреА рдЙрддреНрдкрдиреНрди рдХрд░рддрд╛ рд╣реИред рдХреИрдереЛрдб рд░реЗ рдЯреНрдпреВрдм рдХреЗ рд╕рдордп рд╕реЗ HSYNC рдФрд░ VSYNC рд╕рд┐рдЧреНрдирд▓ рдмрдиреЗ рд╣реБрдП рд╣реИрдВред рд╡реЗ рд╡рд░реНрдЪреБрдЕрд▓ рдмреАрдо рдХреЛ рдмрддрд╛рддреЗ рд╣реИрдВ рдХрд┐ рд╕реНрдХреНрд░реАрди рдкрд░ рдЕрдЧрд▓реЗ рдмрд┐рдВрджреБ / рдкрд┐рдХреНрд╕реЗрд▓ рдХреЛ рдХреНрд╖реИрддрд┐рдЬ рд░реВрдк рд╕реЗ рд╕реНрдерд╛рдирд╛рдВрддрд░рд┐рдд рдХрд░рдирд╛ рд╣реИ, рдФрд░ рдлрд┐рд░ рдЕрдЧрд▓реА рдкрдВрдХреНрддрд┐ рдореЗрдВ рд▓рдВрдмрд╡рдд рд╣реИред

рдПрдХ рдореБрд╢реНрдХрд┐рд▓ рдорд╛рдорд▓реЗ рдореЗрдВ, рдорд┐рдХреНрд╕рд░ рди рдХреЗрд╡рд▓ рдЕрдкрдиреЗ рдЗрдирдкреБрдЯ рдПрдХреНрд╕ рдФрд░ рд╡рд╛рдИ рдХреЗ рдореВрд▓реНрдпреЛрдВ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддрд╛ рд╣реИ, рдмрд▓реНрдХрд┐ рд░рд╛рдЬреНрдп рддрддреНрд╡реЛрдВ (рдбреА-рдлреНрд▓рд┐рдк-рдлреНрд▓реЙрдк рдФрд░ рдореЗрдореЛрд░реА) рд╕реЗ рднреА рдореВрд▓реНрдпреЛрдВ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддрд╛ рд╣реИ, рдЬреЛ рд╕реАрдПрд▓рдХреЗ рдШрдбрд╝реА рд╕рд┐рдЧреНрдирд▓ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдХреЗ рджрд░реНрдЬ рдХрд┐рдП рдЬрд╛рддреЗ рд╣реИрдВред рдЗрд╕ рдорд╛рдорд▓реЗ рдореЗрдВ, рдШрдбрд╝реА рдорд┐рдХреНрд╕рд░ рдореЗрдВ рднреА рдкреНрд░рд╡реЗрд╢ рдХрд░рддреА рд╣реИред рдХреНрд▓реЙрдХ рд╕рд┐рдЧреНрдирд▓ рдФрд░ рд░рд╛рдЬреНрдп рддрддреНрд╡реЛрдВ рд╡рд╛рд▓реЗ рд╕рд░реНрдХрд┐рдЯ рдХреЛ рдЕрдиреБрдХреНрд░рдорд┐рдХ рдХрд╣рд╛ рдЬрд╛рддрд╛ рд╣реИ (рдпреЗ рд╕рд░реНрдХрд┐рдЯ рд░рд╛рдЬреНрдпреЛрдВ рдХреЗ рдЕрдиреБрдХреНрд░рдо рд╕реЗ рдЧреБрдЬрд░рддреЗ рд╣реИрдВ рдЬреЛ рд╕реНрдкрд╖реНрдЯ рд░реВрдк рд╕реЗ рдПрдХ рд╕реНрдкрдВрджрдирд╢реАрд▓ рдШрдбрд╝реА рдХреЛ рд╕реНрдкрдВрджрд┐рдд рдХрд░рддреЗ рд╣реИрдВ)ред рдЕрдиреБрдХреНрд░рдорд┐рдХ рдорд┐рдХреНрд╕рд░ рдкрд░, рдЖрдк рдХрд┐рд╕реА рднреА рдЬрдЯрд┐рд▓рддрд╛ рдХреА рдЪрд▓рддреА рдЫрд╡рд┐рдпреЛрдВ рдХреЛ рд▓рд╛рдЧреВ рдХрд░ рд╕рдХрддреЗ рд╣реИрдВред

рдпрд╣рд╛рдВ MIT shnaya lab (рдЕрдиреНрдп рд╡рд┐рд╢реНрд╡рд╡рд┐рджреНрдпрд╛рд▓рдпреЛрдВ рдХреЗ рдвреЗрд░ рдореЗрдВ рд╣реИ) HSYNC / VSYNC рдЬрдирд░реЗрдЯрд░ рдХреЗ рдХрд╛рд░реНрдп рдХреЛ рджрд░реНрд╢рд╛рддреЗ рд╣реБрдП рдЪрд┐рддреНрд░ рд╣реИрдВ, рдорд┐рдХреНрд╕рд░ (рдЙрд╕реА рдЗрдХрд╛рдИ рдХрд╛ рдЬреЛ RGB рдХреЛ X / HCOUNT рдФрд░ Y / VCOUNT рдХреЗ рдлрд╝рдВрдХреНрд╢рди рдХреЗ рд░реВрдк рдореЗрдВ рдкрд░рд┐рдХрд▓рд┐рдд рдХрд░рддрд╛ рд╣реИ), рд╕рд╛рде рд╣реА HSYNC рдХреЗ рдЖрдЙрдЯрдкреБрдЯ рдХреЗ рд░реВрдк рдореЗрдВ рдЧрдгрдирд╛ рдХрд░рддрд╛ рд╣реИред рд╕реНрдХреНрд░реАрди рдкрд░ VSYNC рдФрд░ RGBред рдЪрд┐рддреНрд░ рддреАрди рдорд┐рдХреНрд╕рд░ рдХреЗ рдмреАрдЪ рдПрдХ рд╕реНрд╡рд┐рдЪ / рдорд▓реНрдЯреАрдкреНрд▓реЗрдХреНрд╕рд░ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддрд╛ рд╣реИ - рдПрдХ рдбреНрд░реЙ рд╕реНрдЯреНрд░рд┐рдкреНрд╕, рджреВрд╕рд░рд╛ рдПрдХ рдЖрдпрдд рдЦреАрдВрдЪрддрд╛ рд╣реИ, рдФрд░ рддреАрд╕рд░рд╛ рдкрд┐рдВрдЧ рдкреЛрдВрдЧ рдЦреЗрд▓рддреЗ рд╕рдордп рд╕реНрдХреНрд░реАрди рдкрд░ рдПрдХ рдЫрд╡рд┐ рдмрдирд╛рддрд╛ рд╣реИ:



рдХреЛрдб рдореЗрдВ, рд╕рдмрд╕реЗ рд╕рд░рд▓ рдорд┐рдХреНрд╕рд░ рдЗрд╕ рддрд░рд╣ рджрд┐рдЦрддрд╛ рд╣реИред рд╡рд╣ рд▓рд╛рд▓, рдиреАрд▓реЗ рдФрд░ рд╣рд░реЗ рд░рдВрдЧ рдХреЗ рдорд┐рд╢реНрд░рдг рдХреЗ рд╕рд╛рде-рд╕рд╛рде рд╢реБрджреНрдз рд░рдВрдЧреЛрдВ рдХреА рд░реЗрдЦрд╛рдУрдВ рд╕реЗ рдмрд╣реБ-рд░рдВрдЧреАрди рд╡рд░реНрдЧ рдЦреАрдВрдЪрддрд╛ рд╣реИ:



рдЗрд╕ рддрд░рд╣ рд╕реЗ, рдЖрдк рд╡рд┐рднрд┐рдиреНрди рдкреНрд░рдХрд╛рд░ рдХреЗ рд░рдВрдЧреАрди рд╕реНрдерд┐рд░ рдЪрд┐рддреНрд░ рдмрдирд╛ рд╕рдХрддреЗ рд╣реИрдВред рдпрд╣рд╛рдБ рд╡реЗ рдЪрд┐рддреНрд░ рд╣реИрдВ рдЬреЛ рдЫрд╛рддреНрд░реЛрдВ рдХреЛ рдПрдХ рдЫреЛрдЯреЗ рд╕реЗ рд╡реНрдпрд╛рдЦреНрдпрд╛рди рдХреЗ рдмрд╛рдж рдЬрд╝реЗрд▓реЗрдВрдЧреЛрд░рд╛рдб рдХреЗ рдПрдХ рд╕рдорд░ рд╕реНрдХреВрд▓ рдореЗрдВ рдЪрд┐рддреНрд░рд┐рдд рдХрд┐рдП рдЧрдП рд╣реИрдВ, рдЬрд┐рд╕рдореЗрдВ рдмрддрд╛рдпрд╛ рдЧрдпрд╛ рд╣реИ рдХрд┐ рдпрд╣ рдХреИрд╕реЗ рдХрд░рдирд╛ рд╣реИ:



рдЦреИрд░, рд╕реНрдХреВрд▓реА рдмрдЪреНрдЪреЗ RGB (X, Y) рдлрд╝рдВрдХреНрд╢рди рдХреЛ рдПрдиреНрдХреЛрдб рдХрд░ рд╕рдХрддреЗ рд╣реИрдВ рдФрд░ рд╕реНрдерд┐рд░ рдЪрд┐рддреНрд░ рдЦреАрдВрдЪ рд╕рдХрддреЗ рд╣реИрдВред рд▓реЗрдХрд┐рди рдЖрдЧреЗ рдХреНрдпрд╛ рдХрд░рдирд╛ рд╣реИ, рдЕрдЧрд▓рд╛ рдХрджрдо рдХреНрдпрд╛ рд╣реИ? рд╣рдордиреЗ MIT, Digilent + Xilinx, Altera + Terasic рдФрд░ Steven Hugg рд╕реЗ рд╕рд╛рдордЧреНрд░реА рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдиреЗ рдХреА рд╕рдВрднрд╛рд╡рдирд╛ рдХреА рдЬрд╛рдВрдЪ рдХреА, рдЬрд┐рд╕рдХреЗ рдмрд╛рдж рд╣рдо рдЗрд╕ рдирд┐рд╖реНрдХрд░реНрд╖ рдкрд░ рдкрд╣реБрдВрдЪреЗ рдХрд┐ рдЙрдирдХрд╛ рдЙрдкрдпреЛрдЧ рдЙрдирдХреЗ рд╢реБрджреНрдз рд░реВрдк рдореЗрдВ рдирд╣реАрдВ рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИред рд╡рд┐рд╢реНрд╡рд╡рд┐рджреНрдпрд╛рд▓рдп рдХреА рдкреНрд░рдпреЛрдЧрд╢рд╛рд▓рд╛рдУрдВ рдХреЛ рд╡рд┐рд╢реНрд╡рд╡рд┐рджреНрдпрд╛рд▓рдп рдХреЗ рдХрд╛рд░реНрдпрдХреНрд░рдо рдореЗрдВ рд╢рд╛рдорд┐рд▓ рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИ, рдФрд░ FPGA рд╡рд┐рдХреНрд░реЗрддрд╛рдУрдВ рдХреА рд╕рд╛рдордЧреНрд░реА рдХреЛ рдЕрдЪреНрдЫреА рддрд░рд╣ рд╕реЗ рдирд╣реАрдВ рд▓рд┐рдЦрд╛ рдЧрдпрд╛ рд╣реИ, рдФрд░ рдпрд╣ рднреА рд╕реБрдЭрд╛рд╡ рдирд╣реАрдВ рд╣реИ рдХрд┐ рдПрдХ рдЧреНрд░реАрд╖реНрдордХрд╛рд▓реАрди рд╕реНрдХреВрд▓ рдХреЗ рдкреНрд░рд╛рд░реВрдк рдореЗрдВ рдХреЛрдб рдХреЛ рдХреИрд╕реЗ рдмрджрд▓рд╛ рдЬрд╛рдП рддрд╛рдХрд┐ рдЫрд╛рддреНрд░ рдЕрдкрдиреЗ рдЦреЗрд▓ рдХрд╛ рдЖрд╡рд┐рд╖реНрдХрд╛рд░ рдХрд░реЗрдВред рд╕реНрдЯреАрдлрди рд╣рдЧреНрдЧ рдХреА рдкреБрд╕реНрддрдХ рдореЗрдВ рджреЛ рдХрдорд┐рдпрд╛рдВ рд╣реИрдВ рдЬреЛ рдЗрд╕рдХреЗ рдЧреБрдгреЛрдВ (рдЬреАрд╡рди-рд░рдВрдЧ рдФрд░ рд░рдВрдЧ-рд░реВрдк) рдХрд╛ рджреВрд╕рд░рд╛ рдкрд╣рд▓реВ рд╣реИрдВ:

  • рд╕рдмрд╕реЗ рдкрд╣рд▓реЗ, рд╕реНрдЯреАрдлрди рд╣рдЧ рдиреЗ рд╕реНрдкрд╖реНрдЯ рд░реВрдк рд╕реЗ рдПрдХ рдЗрд▓реЗрдХреНрдЯреНрд░реЙрдирд┐рдХ рдХрдВрдкрдиреА рдореЗрдВ рд╡реЗрд░рд┐рд▓реЛрдЧ рдбрд┐рдЬрд╛рдЗрдирд░ рдХреЗ рд░реВрдк рдореЗрдВ рдХрд╛рдо рдирд╣реАрдВ рдХрд┐рдпрд╛ рдерд╛, рдЗрд╕рд▓рд┐рдП рдЙрдирдХреЗ рдХреЛрдб рдореЗрдВ рдЕрд▓рдЧ-рдЕрд▓рдЧ рдЪреАрдЬреЗрдВ рд╣реИрдВ рдЬреЛ рдПрдХ рдкреЗрд╢реЗрд╡рд░ рд╡реЗрд░рд┐рд▓реЙрдЧ рдирд╣реАрдВ рдХрд░реЗрдВрдЧреЗред

  • -, 8bitworkshop, , , , .


рд╕рд╛рдорд╛рдиреНрдп рддреМрд░ рдкрд░, рд╕реНрдЯреАрдлрди рд╣рдЧ рдореЗрдВ рд╡реЗрд░рд┐рд▓реЙрдЧ рдЕрдЯрд╛рд░реА рдФрд░ рдирд┐рдирдЯреЗрдВрдбреЛ рд╕реЗ рдкреБрд░рд╛рдиреЗ 8-рдмрд┐рдЯ рдХрдВрд╕реЛрд▓ рдХреЗ рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреА рдкреНрд░реЛрдЧреНрд░рд╛рдорд┐рдВрдЧ рдХреЗ рд╕рд╛рде рд╕рдордореВрд▓реНрдп рдкрд░ рдЦрдбрд╝рд╛ рд╣реЛрддрд╛ рд╣реИред рдЗрд╕ рдкреНрд░рдХрд╛рд░, рд╣рдЧреНрдЧ рднрд╡рд┐рд╖реНрдп рдХреЗ рд╕реБрдкрд░рдбреЗрд╕рд┐рдЧреНрдиреЗрд░реНрд╕ рдХреЛ рдкреНрд░рд╢рд┐рдХреНрд╖рд┐рдд рдХрд░рдиреЗ рдкрд░ рдзреНрдпрд╛рди рдХреЗрдВрджреНрд░рд┐рдд рдирд╣реАрдВ рдХрд░рддрд╛ рд╣реИ, рдмрд▓реНрдХрд┐ рдЙрджрд╛рд╕реАрдирддрд╛, рд░реЗрдЯреНрд░реЛ рдХрдВрдкреНрдпреВрдЯрд░ рд╡реИрдЬреНрдЮрд╛рдирд┐рдХреЛрдВ рдФрд░ рдЕрдиреНрдп рд░реАрдПрдиреЗрдХреНрдЯрд░реНрд╕ рдкрд░ рдХреЗрдВрджреНрд░рд┐рдд рд╣реИред рдЙрдирдХреЗ рдкрд░реНрдпрд╛рд╡рд░рдг рдФрд░ рдкреЗрд╢реЗрд╡рд░ рдбрд┐рдЬрд╝рд╛рдЗрди рдЯреВрд▓ рдХреЗ рдмреАрдЪ рдХрд╛ рдЕрдВрддрд░ Arduino рдкреНрд░реЛрдЧреНрд░рд╛рдорд┐рдВрдЧ рд╡рд╛рддрд╛рд╡рд░рдг рдФрд░ STM32 рдХреЗ рдЯреВрд▓рдХрд┐рдЯреНрд╕ рдХреЗ рдмреАрдЪ рдХреА рддреБрд▓рдирд╛ рдореЗрдВ рдЕрдзрд┐рдХ рд╣реИред



рдмреЗрд╢рдХ, рдореИрдВ рд╕реИрдХрдбрд╝реЛрдВ рдбреЙрд▓рд░ рдХреЗ рд▓рд┐рдП рд╕реНрдХреВрд▓реА рдмрдЪреНрдЪреЛрдВ рдХреЗ рд▓рд┐рдП рддрд╛рд▓ рд╕рд┐рд╡рд┐рдЬрд╝рди рдФрд░ рд╕рд┐рдиреЛрдкреНрд╕рд┐рд╕ рдбрд┐рдЬрд╝рд╛рдЗрди рдХрдВрдкрд╛рдЗрд▓рд░ рдХреЛ рджреЛрд╖ рджреЗрдиреЗ рдХрд╛ рдкреНрд░рд╕реНрддрд╛рд╡ рдирд╣реАрдВ рдХрд░рддрд╛, рд▓реЗрдХрд┐рди рд╕реНрдХреВрд▓реА рдмрдЪреНрдЪреЛрдВ рдХреЛ рдкреЗрд╢реЗрд╡рд░ рдЙрдкрдХрд░рдг Xilinx Vivado, Intel / Altera Quartus II, Mentor ModelSim, рд╕рд╛рде рд╣реА Icarus Verilog, GTKWaveave рдХреЗ рдореБрдлреНрдд рд╕рдВрд╕реНрдХрд░рдг рдорд┐рд▓ рд╕рдХрддреЗ рд╣реИрдВ ред com ред

рдореИрдВрдиреЗ рдкрд┐рдЫрд▓реЗ рдкреИрд░рд╛рдЧреНрд░рд╛рдл рдХреЛ рдлрд┐рд░ рд╕реЗ рд╕реЛрдЪрд╛ рдФрд░ рд╕реЛрдЪрд╛: рд▓реЗрдХрд┐рди рдЬрд╝реЗрд▓реЗрдиреЛрдЧреНрд░рд╛рдб рдореЗрдВ рдЧреНрд░реАрд╖реНрдордХрд╛рд▓реАрди рд╕реНрдХреВрд▓ рдХреЗ рд▓рд┐рдП рддрд╛рд▓ рд╕рд┐рд╡рд┐рдЬрд╝рди рдХрд╛ рдЗрд╕реНрддреЗрдорд╛рд▓ рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рдерд╛ред рдпрд╣ рдЗрд╕рдХреЗ рд▓рд┐рдП рдмреЗрд╣рддрд░ рдФрд░ рдЕрдзрд┐рдХ рд╕реБрд╡рд┐рдзрд╛рдЬрдирдХ рдмрдирд╛ рд╣реИ рдФрд░ рдЗрд╕рдХреЗ рдкреНрд░рддреНрдпрдХреНрд╖ рдкреНрд░рддрд┐рдпреЛрдЧреА Synopsys Design Vision Environment (DVE) * , рдФрд░ Mentor Graphics (free ModelSim рдФрд░ paid Questa) рд╕реЗ рдзрди, рдФрд░ рд╕рднреА рд╕рд╕реНрддреЗ рдФрд░ рдореБрдлреНрдд рдЙрдкрдХрд░рдг (IcarusVerilog, рдЖрджрд┐) рдпрджрд┐ рдорд╛рд╕реНрдХреЛ рддрд╛рд▓ рдХреА рд╢рд╛рдЦрд╛ рд╣реИ рдбрд┐рдЬрд╝рд╛рдЗрди рд╕рд┐рд╕реНрдЯрдо рд╣рдореЗрдВ рдЬрд╝реЗрд▓реЗрдиреЛрдЧреНрд░рд╛рдб рдореЗрдВ рдЧреНрд░реАрд╖реНрдордХрд╛рд▓реАрди рд╕реНрдХреВрд▓реЛрдВ рдореЗрдВ рдЙрдирдХреЗ рд╕реЙрдлрд╝реНрдЯрд╡реЗрдпрд░ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдиреЗ рдХрд╛ рдЕрдзрд┐рдХрд╛рд░ рдФрд░ рд╕рдорд░реНрдерди рджреЗрдЧрд╛, рд╡реНрдпрдХреНрддрд┐рдЧрдд рд░реВрдк рд╕реЗ рдореИрдВ рдЗрд╕реЗ рд╕реНрдХреВрд▓ рдкрд╛рдареНрдпрдХреНрд░рдо рдореЗрдВ рдПрдХреАрдХреГрдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рд╣реЛрдЧрд╛ред

* рдбреАрд╡реАрдИ рдХреЗ рд▓рд┐рдП, рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, рдЬрдм рдореИрдВ рдЙрдмрдВрдЯреВ рдХреЗ рддрд╣рдд рдЗрд╕реЗ рдЪрд▓рд╛рддрд╛ рд╣реВрдВ рддреЛ рд╕реНрд░реЛрдд рд╡рд┐рдВрдбреЛ рдореЗрдВ рдкрд╛рда рдЫреЛрдЯреА рдЧрд╛рдбрд╝реА / рдХреВрджрддрд╛ рд╣реИред рдореБрдЭреЗ рд╡рд┐рдВрдбреЛ рдХрд╛ рдЖрдХрд╛рд░ рдмрджрд▓рдирд╛ рд╣реИ, рдЬрдм рддрдХ рдХрд┐ рд╡рд╣ рд╡рд┐рдлрд▓ рди рд╣реЛ рдЬрд╛рдПред SimVision рдХреЗ рдкрд╛рд╕ рдпрд╣ рдирд╣реАрдВ рд╣реИред

рдпрд╣рд╛рдБ SimVision рдЯреНрдпреВрдЯреЛрд░рд┐рдпрд▓ рдХреА рд╢реБрд░реБрдЖрдд рд╣реИ (рдХреБрд▓ 22 рд╡реАрдбрд┐рдпреЛ):


рд▓реЗрдХрд┐рди рд╡рд╛рдкрд╕ рдЦреЗрд▓ рдХреЗ рд▓рд┐рдПред рд╕реНрдЯреАрдлрди рд╣рдЧ рдирд╣реАрдВ рддреЛ рдХреНрдпрд╛? рдореИрдВрдиреЗ рдПрдХ рд╕рд╛рдзрд╛рд░рдг рдЦреЗрд▓ рдХрд╛ рдПрдХ рдЙрджрд╛рд╣рд░рдг рд▓рд┐рдЦрд╛ рдерд╛ рдЬрд┐рд╕рдХрд╛ рдЙрдкрдпреЛрдЧ рдореИрдВ рдЬрд╝реЗрд▓рдиреЛрдЧреНрд░рд╛рдж рдХреЗ рдПрдХ рдЧреНрд░реАрд╖реНрдордХрд╛рд▓реАрди рд╕реНрдХреВрд▓ рдореЗрдВ рдХрд░рддрд╛ рдерд╛ ред рдЦреЗрд▓ рдХреЗ рд╕реНрд░реЛрдд рдЧрд┐рддреБрдм рдкрд░ рд╣реИрдВ ред рдпрд╣ рдЗрд╕ рддрд░рд╣ рджрд┐рдЦ рд░рд╣рд╛ рд╣реИ:


рджреЛ рд╕рдкреНрддрд╛рд╣ рдХреЗ рд▓рд┐рдП, рдЫрд╛рддреНрд░реЛрдВ рдиреЗ рд░рдЬрд┐рд╕реНрдЯрд░ рдЯреНрд░рд╛рдВрд╕рдлрд░ рдХреЗ рд╕реНрддрд░ рдкрд░ рдбрд┐рдЬрд╛рдЗрди рдХреЗ рдмреБрдирд┐рдпрд╛рджреА рд╕рд┐рджреНрдзрд╛рдВрддреЛрдВ рдХрд╛ рдкрддрд╛ рд▓рдЧрд╛рдпрд╛ рдФрд░ рдЕрдкрдиреЗ рд╕реНрд╡рдпрдВ рдХреЗ, рдФрд░ рдЧреИрд░-рддреБрдЪреНрдЫ рдЦреЗрд▓ рдмрдирд╛рдирд╛ рд╢реБрд░реВ рдХрд┐рдпрд╛:


рдЪрд▓реЛ рдПрдХ рдЙрджрд╛рд╣рд░рдг рдХреЗ рдЦреЗрд▓ рдХреЗ рддрдХрдиреАрдХреА рд╡рд┐рд╡рд░рдг рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдмрд╛рдд рдХрд░рддреЗ рд╣реИрдВред рдПрдХ рдкреНрд▓реЗрдЯрдлрд╝реЙрд░реНрдо-рд╕реНрд╡рддрдВрддреНрд░ рдЧреЗрдо рдореЙрдбреНрдпреВрд▓ рдХреЛ рдХрд┐рд╕реА рднреА FPGA рдмреЛрд░реНрдб рдХреЗ рд░реИрдкрд░ рдореЗрдВ рд░рдЦрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ (рддрд╛рддреНрдХрд╛рд▓рд┐рдХ рд░реВрдк рд╕реЗ) рдЬрд┐рд╕рдореЗрдВ VGA рдкреЛрд░реНрдЯ рдФрд░ рджреЛ рдмрдЯрди рд╣реИрдВред рдпрджрд┐ рдмреЛрд░реНрдб рдкреВрд░реА рддрд░рд╣ рд╕реЗ рдирдВрдЧреЗ рд╣реИ, рддреЛ рдЖрдк GPIO (рд╕рд╛рдорд╛рдиреНрдп рдкреНрд░рдпреЛрдЬрди рдЗрдирдкреБрдЯ / рдЖрдЙрдЯрдкреБрдЯ) рддрд╛рд░реЛрдВ рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ рд╡реАрдЬреАрдП рдФрд░ рдЙрд╕рдХреЗ рд▓рд┐рдП рдПрдХ рдПрдбрд╛рдкреНрдЯрд░ рдХрдиреЗрдХреНрдЯ рдХрд░ рд╕рдХрддреЗ рд╣реИрдВред рдЦреЗрд▓ рдореЙрдбреНрдпреВрд▓ рдореЗрдВ рд╢рд╛рдорд┐рд▓ рд╣реИрдВ:

  1. рд╡реАрдЬреАрдП рд╕реНрдХреИрди рдЬрдирд░реЗрдЯрд░ред
  2. рдЯреЙрд░рдкреАрдбреЛ рдФрд░ рд╕реНрдкреНрд░рд╛рдЗрдЯ рдХреЗ рд▓рдХреНрд╖реНрдп рдХреЗ рд▓рд┐рдП рджреЛ рд╕рдорд╛рдирд╛рдВрддрд░ рдХрд╛рдо рдХрд░рдиреЗ рд╡рд╛рд▓реЗ рдореЙрдбреНрдпреВрд▓ред
  3. рдЦреЗрд▓ рдкрд░рд┐рджреГрд╢реНрдп рдХреЗ рд▓рд┐рдП рд░рд╛рдЬреНрдп рдорд╢реАрди, "рдкреНрд░рд╛рд░рдВрдн", "рдорд╛рд░реНрдЧрджрд░реНрд╢рди", "рдордХреНрдЦрд┐рдпреЛрдВ", "рдЬреАрдд / рд╣рд╛рд░" рдХреЗ рд╕рд╛рдеред
  4. рдПрдХ рдореЙрдбреНрдпреВрд▓ рдЬреЛ рдирд┐рд░реНрдзрд╛рд░рд┐рдд рдХрд░рддрд╛ рд╣реИ рдХрд┐ рд╕реНрдкреНрд░рд╛рдЗрдЯ рдЯрдХрд░рд╛рдпрд╛ рд╣реИ рдпрд╛ рдирд╣реАрдВред
  5. рдЬреАрддрдиреЗ (рдмреНрд▓рд┐рдВрдХрд┐рдВрдЧ рд░рд╛рд╕реНрдкрдмреЗрд░реА рд╕реНрдХреНрд░реАрди) рдпрд╛ рд╣рд╛рд░ (рдкреАрд▓реА рд╕реНрдХреНрд░реАрди) рдХреЗ рдмрд╛рдж рджреГрд╢реНрдп рдкреНрд░рднрд╛рд╡ рдХреА рдЕрд╡рдзрд┐ рдирд┐рд░реНрдзрд╛рд░рд┐рдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдПрдХ рдЯрд╛рдЗрдорд░ред





рдЕрдЧрд▓реЗ рд╕реНрдХреВрд▓ рдХреЗ рд▓рд┐рдП, рдЗрди рд╕рднреА рдЪрд┐рддреНрд░реЛрдВ рдХреЛ рд╕рд╛рдорд╛рдиреНрдп рдорд╛рдЗрдХреНрд░реЛрдЖрд░реНрдХрд┐рдЯреЗрдХреНрдЪрд░ рдЖрд░реЗрдЦреЛрдВ рдореЗрдВ draw.io рдпрд╛ Lucidchart рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдХреЗ рдлрд┐рд░ рд╕реЗ рддреИрдпрд╛рд░ рдХрд░рдиреЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реИ: рдЖрдкрдХреЛ



рд╡реАрд╡реАрдЬреАрдП рд╕реНрдХреИрди рдХреИрд╕реЗ рдХрд╛рдо рдХрд░рддрд╛ рд╣реИ рдФрд░ рдорд┐рдиреА-рдкрд╛рдЗрдкрд▓рд╛рдЗрди рдХреИрд╕реЗ рдирд┐рд░реНрджреЗрд╢рд╛рдВрдХ рдХреА рдЧрдгрдирд╛ рдХрд░рддрд╛ рд╣реИ, рдпрд╣ рджрд┐рдЦрд╛рдиреЗ рдХреЗ рд▓рд┐рдП рд╕реНрдХреВрд▓ рд╕рд╛рдордЧреНрд░реА рдореЗрдВ рд╡реЗрд╡рдбреНрд░реЛрдо рдХреЗ рд╕рд╛рде рдЦреАрдВрдЪреА рдЧрдИ рд╕рдордпрд╕реАрдорд╛ рдХреЛ рдЬреЛрдбрд╝рдиреЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реИред рд╕реНрдкреНрд░рд╛рдЗрдЯреНрд╕ рдХреЗ рдЕрдВрджрд░ рдбреЗрдЯрд╛ рдкрде:



рдФрд░ рдирд┐рд╢реНрдЪрд┐рдд рд░реВрдк рд╕реЗ рдЖрдкрдХреЛ рдкрд░рд┐рдорд┐рдд рд░рд╛рдЬреНрдп рдорд╢реАрди рдХреЗ рдЖрд░реЗрдЦреЛрдВ рдХреЛ рдЖрдХрд░реНрд╖рд┐рдд рдХрд░рдиреЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реИред рдореИрдВрдиреЗ рдЗрд╕ рдЦреЗрд▓ рдХреА рдкрд░рд┐рдорд┐рдд рд░рд╛рдЬреНрдп рдорд╢реАрди рдХреЗ рдПрдХ рджрд░реНрдЬрди рд╕рдВрд╕реНрдХрд░рдг рдмрдирд╛рдП рд╣реИрдВ, рдпрд╣рд╛рдБ рдмреЛрд░реНрдб рдкрд░ рдЪрд╛рд░ рд░рд╛рдЬреНрдпреЛрдВ рдХреЗ рд╕рд╛рде рдПрдХ рд╕рдВрд╕реНрдХрд░рдг рд╣реИ:



рдореИрдВрдиреЗ рдХрдИ рд╡рд┐рдХрд▓реНрдк рдХреНрдпреЛрдВ рдмрдирд╛рдП? рдпрд╣рд╛рдВ рддрдХ тАЛтАЛрдХрд┐ рдЗрд╕ рддрд░рд╣ рдХреА рдПрдХ рд╕рд░рд▓ рдорд╢реАрди рдХреЗ рд╕рд╛рде, рдЖрдк рдПрдХ рдХреЛрдбрд┐рдВрдЧ рдкрд░ рдЙрдирдХреЗ рдЕрд▓рдЧ-рдЕрд▓рдЧ рд╢реИрд▓рд┐рдпреЛрдВ рдХреА рддреБрд▓рдирд╛ рдХрд░ рд╕рдХрддреЗ рд╣реИрдВ, рд╕рд╛рде рд╣реА рд░рд╛рдЬреНрдпреЛрдВ рдФрд░ рд╕рдордп рдХреЗ рдЕрдиреБрдХреВрд▓рди рдХреЛ рднреА рдЪрд┐рддреНрд░рд┐рдд рдХрд░ рд╕рдХрддреЗ рд╣реИрдВред рдпрд╣ рд╕рдм Apple, NVidia, Intel, AMD рдФрд░ рдЕрдиреНрдп рдХрдВрдкрдирд┐рдпреЛрдВ рдореЗрдВ рдЪрд┐рдк рдбрд┐рдЬрд╛рдЗрдирд░ рдХреЗ рд░реВрдк рдореЗрдВ рдПрдХ рдкрдж рдХреЗ рд▓рд┐рдП рдПрдХ рд╕рд╛рдХреНрд╖рд╛рддреНрдХрд╛рд░ рдореЗрдВ рдкреВрдЫрд╛ рдЧрдпрд╛ рд╣реИред рдЗрд╕рд▓рд┐рдП, рдЗрд╕ рдХреНрд╖реЗрддреНрд░ рдХреЛ рдЬреНрдЮрд╛рдд рд╣реЛрдирд╛ рдЪрд╛рд╣рд┐рдП рддрд╛рдХрд┐ рджрд╛рдВрдд рдЙрдЫрд▓реЗрдВред рдЗрд╕ рд╡рд┐рд╖рдп рдкрд░ рдХреБрдЫ рдЕрдЪреНрдЫреЗ рдХреНрд▓рд┐рдлрд░реНрдб рдХрдорд┐рдВрдЧреНрд╕ рд▓реЗрдЦ рд╣реИрдВ:

  1. 2019 рдирд╡реАрдирддрдо рд▓реЗрдЦ: FiniteStateMachine (FSM) SystemVerilog рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдХреЗ рдбрд┐рдЬрд╛рдЗрди рдФрд░ рд╕рдВрд╢реНрд▓реЗрд╖рдг - рдХреНрд▓рд┐рдлрд░реНрдб рдИред рдХрдорд┐рдВрдЧреНрд╕ рдФрд░ рд╣реАрде рдЪреЗрдореНрдмрд░реНрд╕ рджреНрд╡рд╛рд░рд╛ рднрд╛рдЧ Iред
  2. , one-hot state machine, SPARC MIPS: Synthesizable Finite State Machine Design Techniques Using the New SystemVerilog 3.0 Enhancements by Clifford E. Cummings. . 10: ┬лcase (1'b1) // synopsys parallel_case next[READ]: rd <= 1'b1┬╗.
  3. , 9 , : Coding And Scripting Techniques For FSM Designs With Synthesis-Optimized, Glitch-Free Outputs by Clifford E. Cummings.

рдореИрдВрдиреЗ рдЙрджреНрдпреЛрдЧ рдореЗрдВ рд╕рдмрд╕реЗ рд▓реЛрдХрдкреНрд░рд┐рдп рд╕рд┐рдиреЛрдкреНрд╕рд┐рд╕ рдбрд┐рдЬрд╝рд╛рдЗрди рдХрдВрдкрд╛рдЗрд▓рд░ рдЯреВрд▓ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддреЗ рд╣реБрдП рдЧреЗрдо рдХреА рд╕реНрдЯреЗрдЯ рдорд╢реАрди рдХреЗ рд╡рд┐рднрд┐рдиреНрди рд╕рдВрд╕реНрдХрд░рдгреЛрдВ рдХреЛ рд╕рдВрд╢реНрд▓реЗрд╖рд┐рдд рдХрд┐рдпрд╛ рдФрд░ рдЗрд╕ рддрд░рд╣ рдХреЗ рдЯреИрдмрд▓реЗрдЯ рдХреЛ рдмрдирд╛рдпрд╛ред рдЬреИрд╕рд╛ рдХрд┐ рд╣рдо рджреЗрдЦ рд╕рдХрддреЗ рд╣реИрдВ, рдбреАрд╕реА рдХрд╛ рдЖрдзреБрдирд┐рдХ рд╕рдВрд╕реНрдХрд░рдг рд╕рднреА рд╢реИрд▓рд┐рдпреЛрдВ рдХреЛ рдмрд╣реБрдд рдХреБрд╢рд▓рддрд╛ рд╕реЗ рдЕрдиреБрдХреВрд▓рд┐рдд рдХрд░рддрд╛ рд╣реИ, рдЗрд╕рд▓рд┐рдП рд░рд╛рдЬреНрдп рдорд╢реАрдиреЛрдВ рдкрд░ рдкрд┐рдХреЛрд╕реЗрдХрдВрдб рдЦреАрдВрдЪрдирд╛ рд╕рдВрднрд╡ рд╣реИ, рд▓реЗрдХрд┐рди рдЖрд╡рд╢реНрдпрдХ рдирд╣реАрдВ рд╣реИред рдпрджреНрдпрдкрд┐ рдпрд╣ рдЕрдзрд┐рдХ рдЬрдЯрд┐рд▓ рдкрд░рд┐рдорд┐рдд рд░рд╛рдЬреНрдп рдорд╢реАрдиреЛрдВ рдХреЗ рд▓рд┐рдП рд╕рдВрднрд╡ рд╣реИ, рдХрдорд┐рдВрдЧреНрд╕ рдХреЗ рд▓реЗрдЦреЛрдВ рд╕реЗ рдпреБрдХреНрддрд┐рдпрд╛рдВ рдЕрдзрд┐рдХ рдорд╣рддреНрд╡рдкреВрд░реНрдг рд╣реЛрдВрдЧреА:



рдЬрд╝реЗрд▓рд┐рдиреЛрдЧреНрд░рд╛рдб рдореЗрдВ рд╕реНрдХреВрд▓ рдХреЗ рджреМрд░рд╛рди, рд╣рдордиреЗ рдпрд╣ рднреА рдЪрд░реНрдЪрд╛ рдХреА рдХрд┐ рдЪрд┐рдкреНрд╕ рдХреЗ рдбрд┐рдЬрд╛рдЗрди рдореЗрдВ, рд╡реЗрд░рд┐рд▓реЛрдЧ рдФрд░ рд╡реАрдПрдЪрдбреАрдЖрд░ рдЙрдкрдХрд░рдгреЛрдВ рдХреА рд╡рд┐рд╡рд░рдг рднрд╛рд╖рд╛рдУрдВ рдХреЗ рдЕрд▓рд╛рд╡рд╛, рдХрдИ рд╕рд╣рд╛рдпрдХ рднрд╛рд╖рд╛рдУрдВ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ:

  • рдкрд╛рдпрдерди рдХрд╛ рдЙрдкрдпреЛрдЧ рдЕрд╕реЗрдВрдмрд▓реА, рд░рд┐рдЧреНрд░реЗрд╢рди рдореИрдиреЗрдЬрдореЗрдВрдЯ рдХреЛ рд╕реНрд╡рдЪрд╛рд▓рд┐рдд рдХрд░рдиреЗ рдФрд░ рд╕рд┐рдВрдереЗрд╕рд┐рд╕ рдФрд░ рдХреЛрдб рдЬрдирд░реЗрд╢рди рд░рд┐рдкреЛрд░реНрдЯ рд╕реЗ рдЬрд╛рдирдХрд╛рд░реА рдирд┐рдХрд╛рд▓рдиреЗ рдХреЗ рд▓рд┐рдП рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рд╕рд╛рде рд╣реА рдмреИрд╢, рдореЗрдХ рдФрд░ рдЕрдиреНрдп рдпреВрдирд┐рдХреНрд╕ / рд▓рд┐рдирдХреНрд╕ рд░рд╕реЛрдИред

  • Tcl/Tk , . , , Verilog FPGA, , .

  • 1990- Perl . Perl . Verilog-2001 SystemVerilog 90% Verilog-2001 generate SystemVerilog, , . , , SystemVerilog.

  • рд▓реЗрди-рджреЗрди-рд╕реНрддрд░ рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рдмреНрд▓реЙрдХ рдореЙрдбрд▓ рд▓рд┐рдЦрдиреЗ рдХреЗ рд▓рд┐рдП C / C ++ рдФрд░ SystemC рдЬреИрд╕реА рд▓рд╛рдЗрдмреНрд░реЗрд░реАрдЬрд╝ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред рд╕рддреНрдпрд╛рдкрди рдЗрдВрдЬреАрдирд┐рдпрд░ рдЗрди рдореЙрдбрд▓реЛрдВ рдХреЗ рдЦрд┐рд▓рд╛рдл рдмрд░рд╛рдорджреЗ рдкрд░ рдбрд┐рдЬрд╝рд╛рдЗрди рдХрд┐рдП рдЧрдП рдмреНрд▓реЙрдХреЛрдВ рдХреА рдЬрд╛рдВрдЪ рдХрд░рддреЗ рд╣реИрдВред

  • рдпрджрд┐ рдЖрдк рдПрдХ рдкреНрд░реЛрд╕реЗрд╕рд░ рдХрдВрдкрдиреА рдХреЗ рд▓рд┐рдП рдХрд╛рдо рдХрд░рддреЗ рд╣реИрдВ, рддреЛ рдЖрдкрдХреЗ рд▓рд┐рдП рдХреЛрдбрд╛рдВрддрд░рдХ рдЬрд╛рдирдирд╛ рдЕрдЪреНрдЫрд╛ рд╣реИред рднрд▓реЗ рд╣реА рдЖрдк рдПрдХ рдирд┐рдореНрди-рд╕реНрддрд░реАрдп рдкреНрд░реЛрдЧреНрд░рд╛рдорд░ рдирд╣реАрдВ рд╣реИрдВ рдФрд░ рдПрдХ рд╕рддреНрдпрд╛рдкрдирдХрд░реНрддрд╛ рдирд╣реАрдВ рд╣реИрдВ, рд▓реЗрдХрд┐рди рд░рдЬрд┐рд╕реНрдЯрд░ рдЯреНрд░рд╛рдВрд╕рдлрд░ рдХреЗ рд╕реНрддрд░ рдкрд░ рдПрдХ рдкреНрд░реЛрд╕реЗрд╕рд░ рдбрд┐рдЬрд╛рдЗрдирд░ (рд░рдЬрд┐рд╕реНрдЯрд░ рдЯреНрд░рд╛рдВрд╕рдлрд░ рд╕реНрддрд░ - рдЖрд░рдЯреАрдПрд▓)ред


рдпрд╣рд╛рдБ рдореЛрддреА рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдиреЗ рдХреЗ рдЗрдЪреНрдЫреБрдХ рд▓реЛрдЧреЛрдВ рдХреЗ рд▓рд┐рдП рд▓рд┐рдВрдХ рд╣реИрдВ, рдЬреЛ рдХрд┐ рд╡реНрд░рдд рдХреЗ рд▓рд┐рдП рдПрдХ рдкреВрд░реНрд╡рдкреНрд░рд╛рдпреЛрдЬрдХ рдХреЗ рд░реВрдк рдореЗрдВ рд╣реИрдВ:

  1. рдЬреАред EP3: рдПрдХ рдПрдХреНрд╕реНрдЯреЗрдВрд╕рд┐рдмрд▓ рдкрд░реНрд▓ рдкреНрд░реАрдкреНрд░реЛрд╕реЗрд╕рд░
  2. vpp.pl рдореИрди рдкреЗрдЬ
  3. рдПрдХ рд╡реЗрд░рд┐рд▓реЛрдЧ рдкреНрд░реАрдкреНрд░реЛрд╕реЗрд╕рд░

64 рдкреЛрд░реНрдЯ рдХрдиреЗрдХреНрдЯ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдЕрдВрддрд░реНрдирд┐рд╣рд┐рдд рд╡рд░реНрд▓ рдкрд░реНрд▓ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдиреЗ рдХрд╛ рдПрдХ рдЙрджрд╛рд╣рд░рдг:

//@ for my $i (0..7) {
  //@ for my $j (0..7) {
    .D$i$j(P${i}data[$j]),
  //@ }
//@ }



рдпрд╣ рдЕрдЪреНрдЫрд╛ рд╣реЛрдЧрд╛ рдпрджрд┐ рдХреЛрдИ рд╡реНрдпрдХреНрддрд┐ рд╕рдВрд╢реНрд▓реЗрд╖рдг, рд╕рд┐рдореБрд▓реЗрд╢рди, рдбрд┐рдмрдЧрд┐рдВрдЧ, рдЧрддрд┐рд╢реАрд▓ рдмрд┐рдЬрд▓реА рдХреА рдЦрдкрдд рдХрд╛ рд╡рд┐рд╢реНрд▓реЗрд╖рдг, рднреМрддрд┐рдХ рдбрд┐рдЬрд╛рдЗрди, рд╕рднреА рдмреЛрд░реНрдбреЛрдВ рдХреЗ рд▓рд┐рдП рдмрд╛рдзреНрдпрдХрд╛рд░реА, рдЖрджрд┐ рдХреЗ рд▓рд┐рдП рд╕рднреА рдореБрдЦреНрдп рдкреНрд▓реЗрдЯрдлрд╛рд░реНрдореЛрдВ рдкрд░ рдореЙрдбрд▓рд┐рдВрдЧ рдФрд░ рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП рд╕рдВрд╢реНрд▓реЗрд╖рд┐рдд (рдЬрд░реВрд░реА рдирд╣реАрдВ рдХрд┐ рдореЗрд░рд╛ рдЦреЗрд▓) рд▓рд┐рдЦреЗред рдпрд╣ рд╕реНрдХреВрд▓реЛрдВ рдФрд░ рд╕реЗрдорд┐рдирд╛рд░реЛрдВ рдореЗрдВ рдЗрд╕реНрддреЗрдорд╛рд▓ рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ:



рдпрд╣рд╛рдВ рдЖрдкрдХреЗ рдкрд╛рд╕ рдПрдХ рдкреНрд░рд╢реНрди рд╣реЛ рд╕рдХрддрд╛ рд╣реИ: рдореИрдВ рд▓рд┐рдирдХреНрд╕ рд╕реЗрдорд┐рдирд╛рд░ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХреНрдпреЛрдВ рдХрд░реВрдВ? рд╣рд╛рд▓рд╛рдБрдХрд┐, Windows рдореЗрдВ FPGAs рдХреЗ рд▓рд┐рдП рдореЙрдбрд▓рд┐рдВрдЧ рдФрд░ рд╕рдВрд╢реНрд▓реЗрд╖рдг рдХрд╛ рд╡рд╛рддрд╛рд╡рд░рдг рд╣реИ, рд▓реЗрдХрд┐рди Windows рдиреЗ рдмрдбрд╝реЗ рдкреИрдорд╛рдиреЗ рдкрд░ рдЪрд┐рдк рдбрд┐рдЬрд╛рдЗрди рдХреЗ рдЙрджреНрдпреЛрдЧ рдореЗрдВ рдЬрдбрд╝ рдирд╣реАрдВ рд▓реА рд╣реИред 1990 рдХреЗ рджрд╢рдХ рдХреА рд╢реБрд░реБрдЖрдд рдореЗрдВ, ASIC рдбреЗрд╡рд▓рдкрд░реНрд╕ рдХреЗ рд▓рд┐рдП рд╡рд░реНрдХрд╕реНрдЯреЗрд╢рди рдорд╛рд░реНрдХреЗрдЯ рдХреЛ SunOS рдФрд░ HP / UX рдХреЗ рдмреАрдЪ рд╡рд┐рднрд╛рдЬрд┐рдд рдХрд┐рдпрд╛ рдЧрдпрд╛ рдерд╛ред рдлрд┐рд░ рдЗрд▓реЗрдХреНрдЯреНрд░реЙрдирд┐рдХ рдХрдВрдкрдирд┐рдпреЛрдВ рдиреЗ рд╡рд┐рдВрдбреЛрдЬ рдПрдирдЯреА рдХреЗ рд╕рд╛рде рддреАрди рд╕рд╛рд▓ рддрдХ рдЦреЗрд▓рд╛, рд▓реЗрдХрд┐рди рдпрд╣ рдХрд╛рдо рдирд╣реАрдВ рдХрд┐рдпрд╛ред рдЙрд╕рдХреЗ рдмрд╛рдж, Synopsys рдФрд░ рдЕрдиреНрдп рдбрд┐рдЬрд╝рд╛рдЗрди рд╕реЙрдлрд╝реНрдЯрд╡реЗрдпрд░ рдХрдВрдкрдирд┐рдпреЛрдВ рдиреЗ рд▓рд┐рдирдХреНрд╕ рдХреЛ рд╕реНрд╡рд┐рдЪ рдХрд┐рдпрд╛ рдФрд░ рдкрд╣рд▓реЗ Red Hat рдкрд░, рдлрд┐рд░ SuSE рдФрд░ Ubuntu рдкрд░ рд╕реНрд╡рд┐рдЪ рдХрд┐рдпрд╛ред рдореБрдЭреЗ рд╕рдВрджреЗрд╣ рд╣реИ, рдореБрдЦреНрдп рд░реВрдк рд╕реЗ рдореЛрддреА, рд╕реА-рд╢реЗрд▓ рдЖрджрд┐ рдкрд░ рд╕реНрдХреНрд░рд┐рдкреНрдЯреЛрдВ рдХрд╛ рдПрдХ рдЧреБрдЪреНрдЫрд╛, рд╕рд╛рде рд╣реА рд╕рд╛рде рдЯреЗрд▓рдиреЗрдЯ рдФрд░ рдПрдХреНрд╕ рд╡рд┐рдВрдбреЛ рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ рдбреЗрд╡рд▓рдкрд░реНрд╕ рдХреЗ рджреВрд░рд╕реНрде рдХрд╛рд░реНрдп рдХреЗ рдХрд╛рд░рдгред

рдЗрд╕рд▓рд┐рдП, рдореЗрд░реЗ рд╕реЗрдорд┐рдирд╛рд░реЛрдВ рдХреЗ рд▓рд┐рдП рдореИрдВ рд▓реБрдмрдВрдЯреВ рдХреЗ рд╕рд╛рде рдмреВрдЯ рдХрд░рдиреЗ рдпреЛрдЧреНрдп рдПрд╕рдПрд╕рдбреА рдХрд╛ рдПрдХ рдЧреБрдЪреНрдЫрд╛ рд▓реЗ рдЬрд╛рддрд╛ рд╣реВрдВ, рдЬрд┐рд╕ рдкрд░ рд╕рднреА рдЖрд╡рд╢реНрдпрдХ рд╕реЙрдлрд╝реНрдЯрд╡реЗрдпрд░ рдЗрдВрд╕реНрдЯреЙрд▓ рдХрд┐рдП рдЬрд╛рддреЗ рд╣реИрдВред рдореИрдВ рд╡рд┐рд░рд╛рд╕рдд рдмреВрдЯ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддрд╛ рд╣реВрдВ, рд▓реЗрдХрд┐рди рд╣рд╛рд▓ рд╣реА рдореЗрдВ рдпрд╣ рдкрддрд╛ рдЪрд▓рд╛ рд╣реИ рдХрд┐ рдЕрдзрд┐рдХ рд╕реЗ рдЕрдзрд┐рдХ рдХрдВрдкреНрдпреВрдЯрд░реЛрдВ рдХреЛ рдпреВрдИрдПрдлрдЖрдИ рдмреВрдЯ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реЛрддреА рд╣реИред рдЬрд╛рд╣рд┐рд░ рд╣реИ, рдЖрдкрдХреЛ рдпреВрдИрдПрдлрдЖрдИ рдмреВрдЯ рдХреЗ рд╕рд╛рде рдПрдХ рдмреВрдЯ рдХрд░рдиреЗ рдпреЛрдЧреНрдп рдПрд╕рдПрд╕рдбреА рдмрдирд╛рдиреЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реЛрдЧреАред

рдлрд╛рд╕реНрдЯ-рдореВрд╡рд┐рдВрдЧ рдХрдВрдкрдирд┐рдпрд╛рдВ рд░реЗрдб рд╣реИрдЯ рдХреЗ рдмрдЬрд╛рдп рд╕реЗрдВрдЯреЛрд╕ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддреА рд╣реИрдВред рд╢рд╛рдпрдж рдХрдИ рдбрд┐рдЬрд╝рд╛рдЗрди рдЙрдкрдХрд░рдг рдбреЗрдмрд┐рдпрди рдХреЗ рдЕрдВрддрд░реНрдЧрдд рдЖрддреЗ рд╣реИрдВ, рд▓реЗрдХрд┐рди рдореИрдВрдиреЗ рдЗрд╕рдХрд╛ рдкрд░реАрдХреНрд╖рдг рдирд╣реАрдВ рдХрд┐рдпрд╛ рд╣реИред



рд╕реЗрдорд┐рдирд╛рд░реЛрдВ рдХреЗ рд▓рд┐рдП, рдореИрдВ рдЪреАрдиреА FPGA рдмреЛрд░реНрдбреЛрдВ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддрд╛ рд╣реВрдВ, рдЬрд┐рдирдореЗрдВ рд╕реЗ рдПрдХ рдореЗрд░реЗ рд╣рд╛рдереЛрдВ рдореЗрдВ рдиреЛрд╡реЛрд╕рд┐рдмрд┐рд░реНрд╕реНрдХ рд▓рдбрд╝рдХреА, рдирддрд╛рд╢рд╛ рджреНрд╡рд╛рд░рд╛ рдЖрдпреЛрдЬрд┐рдд рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рдЬреЛ рд╣рдорд╛рд░реЗ рд╕рд┐рд▓рд┐рдХреЙрди рд╡реИрд▓реА рдореЗрдВ рд╡реНрд╣рд╛рдЯреНрд╕-рд╡реНрд╣реЗрдпрд░-рдкрд╛рд░реНрдЯрд┐рдпреЛрдВ рдХреА рдореЗрдЬрдмрд╛рдиреА рдХрд░ рд░рд╣рд╛ рд╣реИ ред



рдорд╛рд░реНрдЪ рдХреЗ рдорд╣реАрдиреЗ рдореЗрдВ, рдореИрдВ рд░реВрд╕реА рд╡реНрдпрд╛рдкрд╛рд░реА рд╕рд░реНрдЧреЗрдИ рдЬрд╝реЛрд░рд┐рди рдХреЗ рд╕рд╛рде рдХреИрд▓рд┐рдлреЛрд░реНрдирд┐рдпрд╛ рдХреЗ рд╡рдиреНрдпрдЬреАрд╡реЛрдВ рд╕реЗ рдЧреБрдЬрд╝рд░рд╛, рдЬреЛ рдорд╛рд╕реНрдХреЛ рдореЗрдВ рдПрдХ рджрд░реНрдЬрди ZEOWAA рдмреЛрд░реНрдб рд▓реЗ рдЧрдП, рдЬреЛ рдирддрд╛рд╢рд╛ рдЙрдирдХреЗ рд╣рд╛рдереЛрдВ рдореЗрдВ рдкрдХрдбрд╝реЗ рд╣реБрдП рдереЗред

рдЪреВрдВрдХрд┐ рдЗрд╕ рдЧрд░реНрдореА рдореЗрдВ рдХреЛрд░реЛрдиреЛрд╡рд╛рдпрд░рд╕ рдХреЗ рдХрд╛рд░рдг рдЬрд╝реЗрд▓реЗрдВрдЧреЛрд░рд╛рдб рдореЗрдВ рдХреЛрдИ рд╕рдВрдЧреЛрд╖реНрдареА рдирд╣реАрдВ рд╣реЛрдЧреА, рдЗрд╕рд▓рд┐рдП рдореБрдЭреЗ рд▓рдЧрддрд╛ рд╣реИ рдХрд┐ рдореИрдВ рдорд╛рд╕реНрдХреЛ рд╕реНрдХреВрд▓реА рдмрдЪреНрдЪреЛрдВ рдХреЛ рдореБрдлреНрдд рдореЗрдВ рдпрд╣ рджрд░реНрдЬрди рдлреАрд╕ рджреВрдВрдЧрд╛ (рдпреВрдкреАрдбреА: рдЕрдиреНрдп рд╢рд╣рд░реЛрдВ рдХреЗ рд╕реНрдХреВрд▓реА рдмрдЪреНрдЪреЗ рднреА рдХрд░ рд╕рдХрддреЗ рд╣реИрдВ, рд╣рд╛рд▓рд╛рдВрдХрд┐ рдЕрдЧреНрд░реЗрд╖рдг рдХреЗ рд╕рд╛рде рдЕрддрд┐рд░рд┐рдХреНрдд рдЙрдкрджреНрд░рд╡ рд╣реЛрддрд╛ рд╣реИ)ред рджреЛ рд╢рд░реНрддреЛрдВ рдХреЗ рд╕рд╛рде:

  1. рдЗрд╕рд╕реЗ рдкрд╣рд▓реЗ рдХрд┐ рдЖрдк рдПрдХ рдмреЛрд░реНрдб рдкреНрд░рд╛рдкреНрдд рдХрд░реЗрдВ, рдЖрдкрдХреЛ рдСрдирд▓рд╛рдЗрди рдкрд╛рдареНрдпрдХреНрд░рдо рдХреЗ рд╕рднреА рддреАрди рд╣рд┐рд╕реНрд╕реЛрдВ рд╕реЗ рдЧреБрдЬрд░рдирд╛ рд╣реЛрдЧрд╛ "рд╕реНрдорд╛рд░реНрдЯ рдиреИрдиреЛрдЪрд┐рдкреНрд╕ рдХреЗ рдирд┐рд░реНрдорд╛рддрд╛ рдХреИрд╕реЗ рдХрд╛рдо рдХрд░рддреЗ рд╣реИрдВ" ( "рдЯреНрд░рд╛рдВрдЬрд┐рд╕реНрдЯрд░ рд╕реЗ рдорд╛рдЗрдХреНрд░реЛрдХреНрд░реЗрд╕реАрдЯ " , "рдбрд┐рдЬрд┐рдЯрд▓ рд╕рд░реНрдХрд┐рдЯрд░реА рдХрд╛ рддрд╛рд░реНрдХрд┐рдХ рдкрдХреНрд╖" , " рдбрд┐рдЬрд┐рдЯрд▓ рд╕рд░реНрдХрд┐рдЯрд░реА рдХрд╛ рднреМрддрд┐рдХ рдкрдХреНрд╖" ), рдФрд░ рдПрдХ рдкреНрд░рдорд╛рдг рдкрддреНрд░ рдкреНрд░рд╛рдкреНрдд рдХрд░реЗрдВред рд╣рдордиреЗ рдЗрд╕ рдкрд╛рдареНрдпрдХреНрд░рдо рдХреЛ рд░реБрд╕рдирд╛рдУ, рдпрд╛ рдмрд▓реНрдХрд┐, рдЗрд╕рдХреА рд╢реИрдХреНрд╖рд┐рдХ рдмреЗрдЯреА рдИрдиреЛ, рд╕реНрдЯреИрдордлреЛрд░реНрдб рдкрд░рд┐рдпреЛрдЬрдирд╛ рдХреЗ рд╕рд╛рде рдмрдирд╛рдпрд╛ред



    , , , . , , , ( ) . , , .

  2. , , .


рдпрджрд┐ рдЖрдк рдЗрд╕ рддрд░рд╣ рдХреА рдкрд░рд┐рдпреЛрдЬрдирд╛ рдХреЗ рд▓рд┐рдП рдПрдХ рдирдИ рдкреБрд╕реНрддрдХ "рд▓реЙрдЬрд┐рдХрд▓ рд╕рд┐рдВрдереЗрд╕рд┐рд╕" рдХреЛ рд╕рд╣рд╛рдпрддрд╛ рдХреЗ рд░реВрдк рдореЗрдВ рдЦрд░реАрджрдирд╛ рдЪрд╛рд╣рддреЗ рд╣реИрдВ , рддреЛ рд╣реИрдмрд░ рдХреЗ рдкрд╛рдардХреЛрдВ рдХреЗ рд▓рд┐рдП рдЙрд╕рдХрд╛ рдПрдХ рдкреНрд░рдЪрд╛рд░рдХ рдХреЛрдб рд╣реИ - Sintez_2020ред



рднрд╡рд┐рд╖реНрдп рдореЗрдВ, рдЪреАрдиреА рдмреЛрд░реНрдбреЛрдВ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдирд╛ рдмрдВрдж рдХрд░ рджреЗрдирд╛ рдФрд░ FPGA рдХреЗ рд╕рд╛рде рдЦреБрд▓реЗ рдЕрднреНрдпрд╛рд╕ рдХреЗ рд╕рд╛рде рдЗрд╕ рддрд░рд╣ рдХреЗ рдЕрднреНрдпрд╛рд╕ рдХреЗ рд▓рд┐рдП рдПрдХ рд╕рд╕реНрддрд╛ рд░реВрд╕реА рдмреЛрд░реНрдб рдмрдирд╛рдирд╛ рдЕрдЪреНрдЫрд╛ рд╣реЛрдЧрд╛ред рдореИрдВ рд╕реНрд╡рд╛рдЧрдд рдХрд░рддрд╛ рд╣реВрдВ рдХрд┐ рдХреНрдпрд╛ рдЖрдк рдмреЛрд░реНрдб рдбрд┐рдЬрд╛рдЗрди рд╕реЙрдлреНрдЯрд╡реЗрдпрд░ рдкрд░ рдЯрд┐рдкреНрдкрдгрд┐рдпреЛрдВ рдореЗрдВ рдЕрдкрдиреЗ рд╡рд┐рдЪрд╛рд░ рд╕рд╛рдЭрд╛ рдХрд░рддреЗ рд╣реИрдВ рдФрд░ рдЗрд╕ рддрд░рд╣ рдХреЗ рдмреЛрд░реНрдб рдХреЛ рдмреЗрд╣рддрд░ рдбрд┐рдЬрд╛рдЗрди рдФрд░ рдмрд╛рдЬрд╛рд░ рдмрдирд╛рдиреЗ рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рд╡рд┐рдЪрд╛рд░ рдХрд░рддреЗ рд╣реИрдВред рд╕реНрдХреВрд▓реА рдмрдЪреНрдЪреЛрдВ рдХреЗ рд╕рд╛рде рд╕рд╛рдореВрд╣рд┐рдХ рдЙрдкрдпреЛрдЧ рдХреЗ рд▓рд┐рдП, рдпрд╣ рд╡рд╛рдВрдЫрдиреАрдп рд╣реИ рдХрд┐ рдЗрд╕рдХреА рд▓рд╛рдЧрдд $ 30 рдпрд╛ 2000 рд░реВрдмрд▓ рд╕реЗ рдЕрдзрд┐рдХ рдирд╣реАрдВ рд╣реИред



рдпрджрд┐ рдЖрдк рдПрдХ рдЫрд╛рддреНрд░ рд╣реИрдВ рдФрд░ рдорд╛рдЗрдХреНрд░реЛрдХреНрд░реЗрд╕реАрдЯреНрд╕ рдХреЗ рдбрд┐рдЬрд╛рдЗрди рдореЗрдВ рд░реБрдЪрд┐ рд░рдЦрддреЗ рд╣реИрдВ, рддреЛ рдЖрдк MIET, HSE MIEM, рдорд╛рд╕реНрдХреЛ рдЗрдВрдЬреАрдирд┐рдпрд░рд┐рдВрдЧ рднреМрддрд┐рдХреА рд╕рдВрд╕реНрдерд╛рди, рдорд╛рд╕реНрдХреЛ рд╕реНрдЯреЗрдЯ рдпреВрдирд┐рд╡рд░реНрд╕рд┐рдЯреА, рдорд╛рд╕реНрдХреЛ рдЗрдВрд╕реНрдЯреАрдЯреНрдпреВрдЯ рдСрдл рдлрд┐рдЬрд┐рдХреНрд╕ рдПрдВрдб рдЯреЗрдХреНрдиреЛрд▓реЙрдЬреА ( рдирд┐рдХрд┐рддрд╛ рдкреЙрд▓рд╛рдХреЛрд╡ ) рдЗрд╕ рддрд░рд╣ рдХреЗ рдЕрднреНрдпрд╛рд╕реЛрдВ рдореЗрдВ рд▓рдЧреЗ рд╣реБрдП рд╣реИрдВ, MPEI, MISiS, ITMO, LETI, рд╕рдорд╛рд░рд╛ рд╡рд┐рд╢реНрд╡рд╡рд┐рджреНрдпрд╛рд▓рдп, рдХреБрдЫ рдпреВрдХреНрд░реЗрдиреА рд╡рд┐рд╢реНрд╡рд╡рд┐рджреНрдпрд╛рд▓рдп (KNU, рдЪреЗрд░реНрдирд┐рд╣рд╛рдЗрд╡ NTU, рдЦрд╛рд░реНрдХреЛрд╡ KNURE) рдФрд░ рдЕрдиреНрдп рд╡рд┐рд╢реНрд╡рд╡рд┐рджреНрдпрд╛рд▓рдпред рдЗрд╕рд▓рд┐рдП рдореИрдВрдиреЗ рдкрд╛рдпрд╛ рдХрд┐ рдЬрд╝реЗрд▓реЗрдиреЛрдЧреНрд░рд╛рдб рдореЗрдВ MIET рдЪрдпрди рд╕рдорд┐рддрд┐ рдХреЗ рд▓рд┐рдП рдореЗрд░реЗ рджреГрд╖реНрдЯрд┐рдХреЛрдг рдХреА рдорд░рдореНрдордд



рдХреИрд╕реЗ рдХреА рдЬрд╛ рд░рд╣реА рд╣реИ: рд▓реЗрдХрд┐рди рдпрд╣рд╛рдБ рдмрддрд╛рдпрд╛ рдЧрдпрд╛ рд╣реИ рдХрд┐ MIET рдЕрдВрджрд░ рдХреИрд╕рд╛ рджрд┐рдЦрддрд╛ рд╣реИ: рдЖрдк



ELETIS NGO рдХреЗ рд╡рд┐рдкрд░реАрдд MIET рдХреЗ рдареАрдХ рд╕рд╛рдордиреЗ рдПрдХ рдиреМрдХрд░реА рдкрд╛ рд╕рдХрддреЗ рд╣реИрдВ, рдЬреЛ рд╕реНрдорд╛рд░реНрдЯ рдХреИрдорд░реЛрдВ рдФрд░ рд╕реИрдЯреЗрд▓рд╛рдЗрдЯ рдЪрд┐рдкреНрд╕ рд╕реЗ рд╕рдВрдмрдВрдзрд┐рдд рд╣реИ



рдпрд╛ рдЖрдк рд╕рд┐рд▓рд┐рдХреЙрди рд╡реИрд▓реА рдореЗрдВ рдЖ рд╕рдХрддреЗ рд╣реИрдВред рдбреЛрд▓рд┐рдирд╛ рдХреЗ рд╕рд╛рде рдПрдХ рд╕рд╛рдХреНрд╖рд╛рддреНрдХрд╛рд░ рдкреНрд░рд╛рдкреНрдд рдХрд░рдирд╛ рдЪрд╛рд╣рддреЗ рд╣реИрдВ? FPGA рдмреЛрд░реНрдбреЛрдВ рдкрд░ рдЕрднреНрдпрд╛рд╕!


All Articles