рдХреЛрд░реЛрдиреЛрд╡рд╛рдпрд░рд╕ рдФрд░ рд╕реНрдЯрд┐рдХреНрд╕ рд╕реЗ рдЬреЗрдбрдПрдХреНрд╕ рд╕реНрдкреЗрдХреНрдЯреНрд░рдо (рд╡рд╛рд╕реНрддрд╡ рдореЗрдВ, рд╡рд╛рд╕реНрддрд╡ рдореЗрдВ рдирд╣реАрдВ)

рдЖрддреНрдо-рдЕрд▓рдЧрд╛рд╡ рдЖрдзреБрдирд┐рдХ рдорд╛рдирд╡рддрд╛ рдХрд╛ рдкрд░рд┐рдорд╛рд░реНрдЬрди рд╣реИред рдпрд╣рд╛рдБ, рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, рдкрдбрд╝реЛрд╕реА рд╢рд╣рд░ рдореЗрдВ, рд╢реБрдХреНрд░рд╡рд╛рд░ рдФрд░ рд╢рдирд┐рд╡рд╛рд░ рдХреЛ, рд╢рд╛рдо 8 рдмрдЬреЗ рдкрд╛рд░рдВрдкрд░рд┐рдХ рддрд╛рд▓реА рдмрдЬрд╛рдиреЗ рдХреЗ рдмрд╛рдж, рд╡реЗ рдмрд╛рд▓рдХрдиреА рдХреЙрдиреНрд╕рд░реНрдЯ рдЖрдпреЛрдЬрд┐рдд рдХрд░рддреЗ рд╣реИрдВред рдЙрдиреНрд╣реЗрдВ рдЕрдЪреНрдЫрд╛ рд▓рдЧрддрд╛ рд╣реИ, рдЙрдирдХреЗ рдШрд░ рд▓рдВрдмреЗ рд╣реИрдВ рдФрд░ рдЙрдирдХреЗ рдкрдбрд╝реЛрд╕реА рдпреБрд╡рд╛ рд╣реИрдВред рд╣рдорд╛рд░реЗ рдкрдбрд╝реЛрд╕реА рдмреБрдЬреБрд░реНрдЧ рд╣реИрдВ, рд╡реЗ рд╕рдВрдЧреАрдд рдХрд╛рд░реНрдпрдХреНрд░рдо рдирд╣реАрдВ рдЪрд╛рд╣рддреЗ рд╣реИрдВред рдФрд░ рдШрд░ рдХрдо рд╣реИрдВ, рдЬреЛ рдЖрд▓рд╕реНрдп рдореЗрдВ рднреА рдпреЛрдЧрджрд╛рди рдирд╣реАрдВ рджреЗрддрд╛ рд╣реИред рдЗрд╕рд▓рд┐рдП, рд╣рдо рдЬреИрд╕реЗ рд╣реИрдВ рд╡реИрд╕реЗ рд╣реА рдмрдЪ рдЬрд╛рддреЗ рд╣реИрдВред

рджреЛрдкрд╣рд░ рдореЗрдВ, рдПрдХ рджреВрд░рд╕реНрде рд╕рд╛рдЗрдЯ рдкрд░, рдЗрддрдирд╛ рдмреБрд░рд╛ рдирд╣реАрдВ рд╣реИред рд╢рд╛рдо рддрдХ, рдЬрдм рддрдХ рдмрдЪреНрдЪреЗ рд╕реЛ рдирд╣реАрдВ рдЬрд╛рддреЗред рдкрд╣рд▓реЗ рдХреБрдЫ рджрд┐рдиреЛрдВ рдореЗрдВ, рдЬрдм рддрдХ рдХрд┐ рдХрд┐рддрд╛рдмреЗрдВ рдмрд╛рд╣рд░ рдирд╣реАрдВ рдирд┐рдХрд▓ рдЬрд╛рддреАрдВ рдФрд░ рд╢реНрд░реГрдВрдЦрд▓рд╛ рдКрдм рдЬрд╛рддреА рд╣реИред рд▓реЗрдХрд┐рди рдПрдХ рдорд╣реАрдирд╛ рдмреАрдд рдЬрд╛рддрд╛ рд╣реИ, рдЙрд╕рдХреЗ рдмрд╛рдж рджреВрд╕рд░рд╛ред рдЖрддреНрдорд╛ рдХреЛ рдкреБрд░рд╛рдиреЗ рд▓реЛрд╣реЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реЛрддреА рд╣реИред рд▓реЗрдХрд┐рди рд╕рд┐рд░реНрдл рдирд╣реАрдВ, рдмрд▓реНрдХрд┐ рд╡рд┐рдХреГрддрд┐ рдХреЗ рд╕рд╛рдеред рдФрд░ рдореИрдВрдиреЗ рдХрдЪрд░рд╛ рдбрд┐рдмреНрдмреЗ рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ рдЕрдлрд╡рд╛рд╣ рдЙрдбрд╝рд╛рдИ рдФрд░ рд╡рд╣рд╛рдВ Zilog Z80 рдкреНрд░реЛрд╕реЗрд╕рд░ рдкрд╛рдпрд╛:

рдЫрд╡рд┐

рдореБрдЭреЗ рдХрд╣рдирд╛ рд╣реЛрдЧрд╛, рдореИрдВ рд╡рд╛рд╕реНрддрд╡ рдореЗрдВ рдЗрд╕ рдкреНрд░реЛрд╕реЗрд╕рд░ рд╕реЗ рдкреНрдпрд╛рд░ рдХрд░рддрд╛ рд╣реВрдВред рд╕рдВрднрд╡рддрдГ рдЙрдирдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдореБрдЭреЗ рдкрд╕рдВрдж рдХреА рдЬрд╛рдиреЗ рд╡рд╛рд▓реА рдПрдХрдорд╛рддреНрд░ рдЪреАрдЬрд╝ 486 рд╡реАрдВ рдЪрд┐рдк рд╣реИ, рд▓реЗрдХрд┐рди рдореЗрд░реЗ рд╣рд╛рде рдЬрд▓реНрдж рд╣реА рдЙрд╕ рддрдХ рдирд╣реАрдВ рдкрд╣реБрдВрдЪреЗрдВрдЧреЗ, рдХреНрдпреЛрдВрдХрд┐ рдЗрд╕реЗ рдмреНрд░реЗрдбрдмреЛрд░реНрдб рдореЗрдВ рдбрд╛рд▓рдирд╛ рдореБрд╢реНрдХрд┐рд▓ рдФрд░ рд╡реНрдпрд░реНрде рд╣реИред рдорд┐рд▓рд╛рдк рдХрд░рдирд╛ рд╣реИред рд▓реЗрдХрд┐рди рдореИрдВ рдЕрднреА рддрдХ рдорд┐рд▓рд╛рдк рдирд╣реАрдВ рдХрд░рдирд╛ рдЪрд╛рд╣рддрд╛ред рдФрд░ Z80 рд╕реЗ рднреА рдЕрдзрд┐рдХ, рдореИрдВ рдЕрдкрдиреЗ рдЖрдзрд╛рд░ рдкрд░ рдирд┐рд░реНрдорд┐рдд ZX рд╕реНрдкреЗрдХреНрдЯреНрд░рдо рдХрдВрдкреНрдпреВрдЯрд░ рд╕реЗ рдкреНрдпрд╛рд░ рдХрд░рддрд╛ рд╣реВрдВред рд▓реЗрдХрд┐рди рдореВрд▓ рд╕реНрдкреЗрдХреНрдЯреНрд░рдо рдПрдХ ULA рдХрд╕реНрдЯрдо рд▓реЙрдЬрд┐рдХ рдЪрд┐рдк рдХреЗ рд░реВрдк рдореЗрдВ рдПрдХ рдЖрдкрджрд╛ рд╕реЗ рдЧреНрд░рд╕реНрдд рд╣реИ, рдФрд░ рдвреАрд▓реЗ рдкрдХреНрд╖ рдкрд░ рдЗрд╕рдХреЗ рдХреНрд▓реЛрди, рд╣рд╛рд▓рд╛рдВрдХрд┐ рдирд┐рд░реНрдорд╛рдг рдФрд░ рдкрд░рд┐рд╖реНрдХреГрдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рд╡рд┐рд╢реЗрд╖ рд░реВрдк рд╕реЗ рдореБрд╢реНрдХрд┐рд▓ рдирд╣реАрдВ рд╣реИ, рдлрд┐рд░ рднреА рдмреНрд░реЗрдбрдмреЛрд░реНрдб рдореЙрдбрд▓ рдХреЗ рд▓рд┐рдП рдирд╣реАрдВ рд╣реИрдВ, рдФрд░ рд╡рд╛рд╕реНрддрд╡ рдореЗрдВ, рдХреНрдпреЛрдВ рдмрд╣реБрдд рд╕рд╛рд░реЗ рд╡рд░реНрдзрдорд╛рди рд╣реИрдВ рдЬрдм рдЖрд░реНрджреБрдиреЛ рд╣реИ?

рдпрд╣рд╛рдБ рдПрдХ рд╕реНрдорд╛рд░реНрдЯ, рд╕рдВрддреБрд▓рд┐рдд рдФрд░ рдкрд░реНрдпрд╛рдкреНрдд рдкрд╛рдардХ рдпрд╛ рддреЛ рдкрдврд╝рдирд╛ рдмрдВрдж рдХрд░ рджреЗрдЧрд╛ рдпрд╛ "1 FPGA microcircuit рд╕реНрдкреЗрдХреНрдЯреНрд░рдо рдХрдВрдкреНрдпреВрдЯрд░ рдХрдВрдкреНрдпреВрдЯрд░ рдХреЛ рд╕рдорд╛рдпреЛрдЬрд┐рдд рдХрд░реЗрдЧрд╛" рдХреЛ рд░реЛрдХрдиреЗ рд╕реЗ рдкрд╣рд▓реЗ рдРрд╕рд╛ рдХреБрдЫ рдлреЗрдВрдХ рджреЗрдЧрд╛ред рдореИрдВ рд╕реНрдорд╛рд░реНрдЯ рдирд╣реАрдВ рд╣реВрдВ, рдкрд░реНрдпрд╛рдкреНрдд рдирд╣реАрдВ рд╣реИ, рд╣рд╛рд▓рд╛рдВрдХрд┐ рд╕рдВрддреБрд▓рд┐рдд рд╣реИ, рд▓реЗрдХрд┐рди рдореИрдВ рдХреЗрд╡рд▓ FPGA рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдЬрд╛рдирддрд╛ рд╣реВрдВ рдХрд┐ рдпрд╣ рдЕрдЪреНрдЫрд╛ рд╣реИред рдореИрдВ рдХреЗрд╡рд▓ рдЖрд░реНрдбрд┐рдиреЛ рдХрд░ рд╕рдХрддрд╛ рд╣реВрдВред рд▓реЗрдХрд┐рди рд╡рд╛рд╕реНрддрд╡ рдореЗрдВ Z80 рдореЗрдВ рддрд╛рд░реЛрдВ рдХреЛ рдкреНрд░рд╣рд╛рд░ рдХрд░рдирд╛ рдЪрд╛рд╣рддрд╛ рд╣реИред рдЕрддрд┐ред

рдЪрд▓реЛ рд╢реБрд░реВ рдХрд░рддреЗ рд╣реИрдВ

рдмреЗрд╢рдХ, рд╢реБрд░реВ рдХрд░рддреЗ рд╣реИрдВред рд▓реЗрдХрд┐рди рдкрд╣рд▓реЗ, рдЕрд╕реНрд╡реАрдХрд░рдгред, , , . тАФ . , , . , (, ?), , , , , . , , , , , .

рд╢реБрд░реВ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП, рдкрд░реНрдпрд╛рдкреНрдд 8-рдмрд┐рдЯ рдХрдВрдкреНрдпреВрдЯрд░ рдХреНрдпрд╛ рд╣реИред рдпрд╣ рд╡рд╛рд╕реНрддрд╡ рдореЗрдВ, рдПрдХ рдкреНрд░реЛрд╕реЗрд╕рд░ рд╣реИ рдЬреЛ ROM рдФрд░ RAM рд╕реЗ рдЬреБрдбрд╝рд╛ рд╣реИ, рдФрд░ рджреВрд╕рд░реА рддрд░рдл рдХрдореНрдкреЛрдЬрд┐рдЯ рд╕реНрдХреНрд░реАрди рдкрд░ рдкреНрд░рджрд░реНрд╢рд┐рдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдХрд╛рдЙрдВрдЯрд░реЛрдВ рдХреА рдПрдХ рдЬреЛрдбрд╝реА рд╣реИред рдХрднреА-рдХрднреА, рдЪреАрдЦрд╝ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдПрдХ рдЯрд╛рдЗрдорд░ред ZX рд╕реНрдкреЗрдХреНрдЯреНрд░рдо рдкрд╛рд░рдВрдкрд░рд┐рдХ рдпреЛрдЬрдирд╛ рд╕реЗ рдЕрд▓рдЧ рдирд╣реАрдВ рд╣реИ, рд╕рд┐рд╡рд╛рдп рдПрдХ рдХреЗред рдПрдХ рдЙрд▓реНрд▓рд╛рд╕ рд╣реИред рдпрд╣ рд╡рд╛рд╕реНрддрд╡ рдореЗрдВ, рд╕реНрдкреЗрдХреНрдЯреНрд░рдо рдХрд╛ "рдЪрд┐рдкрд╕реЗрдЯ" рд╣реИред ULA рдПрдХ рдЯреЗрдк рд░рд┐рдХреЙрд░реНрдбрд░, рдЯреНрд╡реАрдЯрд░, рдХреАрдмреЛрд░реНрдб (рдЖрдВрд╢рд┐рдХ рд░реВрдк рд╕реЗ), рд╕реНрдХреНрд░реАрди рдкрд░ рдЖрдЙрдЯрдкреБрдЯ (рд╣рд╛рдВ, рд╣рд╛рдВ, рдПрдХреАрдХреГрдд рд╡реАрдбрд┐рдпреЛ рдХрд╛рд░реНрдб рдореБрдЦреНрдпрдзрд╛рд░рд╛ рдЪрд┐рдкрд╕реЗрдЯ рдореЗрдВ рдореБрдЦреНрдпрдзрд╛рд░рд╛ рдореЗрдВ рдЖрдиреЗ рд╕реЗ рдкрд╣рд▓реЗ рд╣реА рджрд┐рдЦрд╛рдИ рджрд┐рдпрд╛) рдЬреИрд╕реЗ рдмрд╛рд╣реНрдп рдЙрдкрдХрд░рдгреЛрдВ рдХрд╛ рдкреНрд░рдмрдВрдзрди рдХрд░рддрд╛ рд╣реИред рдПрдХ рд╕рд╛рдЭрд╛ рд╕реНрдорд╛рд░рдХ рднреА рдерд╛, рдкрд╣рд▓реЗ 16 KiB RAM (0x4000 рд╕реЗ 0x5B00 рддрдХ рдХреЗ рдкрддреЗ)ред рдЗрд╕рд╕реЗ, ULA рдиреЗ рд╕реНрдХреНрд░реАрди рдкрд░ рдПрдХ рд╕рдореНрдорд┐рд╢реНрд░рдг рдЖрдХрд░реНрд╖рд┐рдд рдХрд┐рдпрд╛, рдФрд░ рдпрд╣ рдХрд┐ Z80 рд╡рд╣рд╛рдВ рдирд╣реАрдВ рдлрдЯреЗрдЧрд╛, рдЬрдм рдпрд╣ рдЖрд╡рд╢реНрдпрдХ рдирд╣реАрдВ рдерд╛, ULA рдпрджрд┐ рдЖрд╡рд╢реНрдпрдХ рд╣реЛ рддреЛ рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЛ рд░реЛрдХ рд╕рдХрддрд╛ рд╣реИ, рдХреНрдпреЛрдВрдХрд┐ Z80 рдкрд░ рдШрдбрд╝реА рдХрд╛ рд╕рдВрдХреЗрдд рдЗрд╕рд╕реЗ рдЖрдпрд╛ рдерд╛ред рдЕрд░реНрдерд╛рддреН, рдпрджрд┐ ULA рдиреЗ рдореЗрдореЛрд░реА рдХреЗ рд╕рд╛рде рдХрд╛рдо рдХрд┐рдпрд╛, рдФрд░ рдкрддрд╛ рд▓рдЧрд╛рдпрд╛,рдХрд┐ рдкреНрд░реЛрд╕реЗрд╕рд░ рднреА рдЗрд╕ рдореЗрдореЛрд░реА рдореЗрдВ рд░реЗрдВрдЧрддрд╛ рд╣реИ (рдЗрд╕рдХреЗ рд▓рд┐рдП, рдпрд╣ рд▓рдЧрд╛рддрд╛рд░ MREQ рдФрд░ A15 рдФрд░ A14 рд▓рд╛рдЗрдиреЛрдВ рдХреА рдирд┐рдЧрд░рд╛рдиреА рдХрд░рддрд╛ рд╣реИ), рдпрд╣ рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЛ рдХреЗрд╡рд▓ рддрдм рддрдХ рдмрдВрдж рдХрд░рдирд╛ рдмрдВрдж рдХрд░ рджреЗрддрд╛ рд╣реИ рдЬрдм рддрдХ рдХрд┐ рд╡рд╣ рдЦреБрдж рд╣реА рдРрд╕рд╛ рдХрд░рдирд╛ рдмрдВрдж рдХрд░ рджреЗрддрд╛ рд╣реИ рдЬрд┐рд╕реЗ рдЗрд╕рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рдереАред рд╡реИрд╕реЗ, рдмрд╕ рдореЗрдВ рдбреЗрдЯрд╛ рднреНрд░рд╖реНрдЯрд╛рдЪрд╛рд░ рд╕реЗ рдмрдЪрдиреЗ рдХреЗ рд▓рд┐рдП, рдкреНрд░реЛрд╕реЗрд╕рд░ рд╕рд╛рдЗрдб рдкрд░ рдФрд░ ULA рд╕рд╛рдЗрдб рдкрд░ рдмрд╕ рдХреЗ рдХреБрдЫ рд╣рд┐рд╕реНрд╕реЛрдВ рдХреЛ ... рдкреНрд░рддрд┐рд░реЛрдзрдХреЛрдВ рджреНрд╡рд╛рд░рд╛ рд╕реАрдорд╛рдВрдХрд┐рдд рдХрд┐рдпрд╛ рдЧрдпрд╛ рдерд╛ ... рдЗрд╕рдХреЗ рдЕрд▓рд╛рд╡рд╛, рдореЗрдореЛрд░реА ULA рд╕рд╛рдЗрдб рд╕реЗ рдмрд╕ рдореЗрдВ рдмреИрдареА рдереА рдФрд░, рддрджрдиреБрд╕рд╛рд░, рдЯрдХреНрдХрд░ рдХреА рд╕реНрдерд┐рддрд┐ рдореЗрдВ, рдкреНрд░реЛрд╕реЗрд╕рд░ рдкрдХреНрд╖ рд╕реЗ рдбреЗрдЯрд╛ рдФрд░ рдкрддреЗ рдХреЛ рдкреВрд░реА рддрд░рд╣ рд╕реЗ рдЕрдирджреЗрдЦрд╛ рдХрд░ рджрд┐рдпрд╛редрдкреНрд░реЛрд╕реЗрд╕рд░ рд╕реЗ рдбреЗрдЯрд╛ рдФрд░ рдкрддреЗ рдХреЛ рдкреВрд░реА рддрд░рд╣ рд╕реЗ рдирдЬрд░рдЕрдВрджрд╛рдЬ рдХрд░ рджрд┐рдпрд╛редрдкреНрд░реЛрд╕реЗрд╕рд░ рд╕реЗ рдбреЗрдЯрд╛ рдФрд░ рдкрддреЗ рдХреЛ рдкреВрд░реА рддрд░рд╣ рд╕реЗ рдирдЬрд░рдЕрдВрджрд╛рдЬ рдХрд░ рджрд┐рдпрд╛ред

рдЗрд╕рдХреЗ рдЕрд▓рд╛рд╡рд╛, рд╕реНрдкреЗрдХреНрдЯреНрд░рдо рдореЗрдВ рд░реЛрдо (рдкрддреЗ 0x0000 - 0x3FFF) рдФрд░ рдЗрд╕рдХреА рд╕реНрд╡рдпрдВ рдХреА рдкреНрд░реЛрд╕реЗрд╕рд░ рдореЗрдореЛрд░реА (0x8000 - 0xFFFF) рдереА, рдЬрд┐рд╕ рдкрд░ ULA рдХреА рдкрд╣реБрдБрдЪ рдирд╣реАрдВ рдереА, рдФрд░ рдЬрд┐рд╕рдиреЗ рд╕рд╛рдЭрд╛ рдореЗрдореЛрд░реА рдХреЗ 16 KiB рд╕реЗ рдЕрдзрд┐рдХ рддреЗрдЬреА рд╕реЗ рдХрд╛рдо рдХрд┐рдпрд╛, рдХреНрдпреЛрдВрдХрд┐ рдкреНрд░реЛрд╕реЗрд╕рд░ рдиреЗ рдЗрд╕ рдХреНрд╖реЗрддреНрд░ рдореЗрдВ ULA рдХреЗ рд╕рд╛рде рд╣рд╕реНрддрдХреНрд╖реЗрдк рдирд╣реАрдВ рдХрд┐рдпрд╛ рдерд╛ред ред рд▓реЗрдХрд┐рди рд╡рд╣ рдХреЗрд╡рд▓ рдХрдВрдкреНрдпреВрдЯрд░ рдХреЗ 48K рд╕рдВрд╕реНрдХрд░рдг рдкрд░ рдерд╛ред рдореВрд▓ рд╕рдВрд╕реНрдХрд░рдг рдореЗрдВ, ULA рдХреЗ рд╕рд╛рде рд╕рдВрдЧрдд рдХреЗрд╡рд▓ ROM рдФрд░ 16 KiB рдереЗред рд╣рдо рдЙрд╕рдХреЗ рд╕рд╛рде рд╢реБрд░реВ рдХрд░реЗрдВрдЧреЗред

рдпрд╣ рд╕реБрд╡рд┐рдзрд╛рдЬрдирдХ рд╣реИ рдХрд┐ Z80 рдкреНрд░реЛрд╕реЗрд╕рд░ DRAM рдХреЛ рдкреБрди: рдЙрддреНрдкрдиреНрди рдХрд░ рд╕рдХрддрд╛ рд╣реИ, рд▓реЗрдХрд┐рди рдХрд┐рд╕реА рддрд░рд╣ рдореИрдВ рдЗрд╕рдХреЗ рд╕рд╛рде рдкрд░реЗрд╢рд╛рди рдирд╣реАрдВ рдХрд░рдирд╛ рдЪрд╛рд╣рддрд╛, рдХреНрдпреЛрдВрдХрд┐ SRAM рдЦреЛрдЬрдиреЗ рдореЗрдВ рдЖрд╕рд╛рди рд╣реИ рдФрд░ рдореЗрд░реЗ рдкрд╛рд╕ рдорд▓реНрдЯреАрдкреНрд▓реЗрдХреНрд╕рд░ рдирд╣реАрдВ рд╣реИ (рдпрд╛ рдореБрдЭреЗ рдирд╣реАрдВ рдорд┐рд▓ рд╕рдХрддрд╛ рд╣реИ)ред рдЗрд╕рд▓рд┐рдП, рд╣рдо SRAM рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░реЗрдВрдЧреЗред рд╢реБрд░реВ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП, рд╣рдо рдореБрдЦреНрдп рдХрдВрдХрд╛рд▓ рдХреЛ рдЗрдХрдЯреНрдард╛ рдХрд░реЗрдВрдЧреЗ, рдЬрд┐рд╕ рдкрд░ рдлрд┐рд░ рдмрд╛рдХреА рд╕рдм рд▓рдЯрдХрд╛ рджрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИред рдХрдВрдХрд╛рд▓ рдПрдХ рдкреНрд░реЛрд╕реЗрд╕рд░ рд╣реЛрдЧрд╛, рдлрд░реНрдорд╡реЗрдпрд░ рдХреЗ рд╕рд╛рде ROM, рд╕реНрдкреЗрдХреНрдЯреНрд░рдо рдХреА ROM, рд░реИрдо рдХреЗ рдкрддреЗ рдкрд░ рдореИрдк рдХрд┐рдпрд╛ рдЧрдпрд╛, ROM рдХреЗ рдмрд╛рдж рдкрд╣рд▓реЗ 16 KiB рдХреЛ рдореИрдк рдХрд┐рдпрд╛ рдЧрдпрд╛ рдФрд░ рд╕рдм рдХреБрдЫ рд▓рдкреЗрдЯрдиреЗ рдХреЗ рд▓рд┐рдП рдХреБрдЫ рдЪрд┐рдкреНрд╕ ... рдореБрдЭреЗ рдХрд╣рдирд╛ рд╣реЛрдЧрд╛ рдХрд┐ рд▓рдВрдмреЗ рд╕рдордп рд╕реЗ рдореИрдВ рдШреБрдорд╛рдирд╛ рдирд╣реАрдВ рдЪрд╛рд╣рддрд╛ рдерд╛, рдХреНрдпреЛрдВрдХрд┐ рдореЗрд░реЗ рдкрд╛рд╕ рдЪреАрдиреА рд▓реЗрдЖрдЙрдЯ рд╣реИрдВ Ibee рдореЗрдВ 2 рдЯреБрдХрдбрд╝реЛрдВ рдХреЗ рд▓рд┐рдП $ 1ред рд▓реЗрдХрд┐рди, рдореЗрд░реЗ рд▓рд┐рдП, рдЙрдкрджреНрд░рд╡ рдЗрд╕рдХреЗ рд▓рд╛рдпрдХ рд╣реИред рдпрджрд┐ рдЖрдк рд▓рдВрдмреЗ рд╕рдордп рддрдХ рдЧрдбрд╝рдмрдбрд╝ рдирд╣реАрдВ рдХрд░рдирд╛ рдЪрд╛рд╣рддреЗ рд╣реИрдВ, рддреЛ рдЕрдЪреНрдЫреЗ рд▓реЗрдЖрдЙрдЯ рд▓реЗрдВред

рддреЛ, Z80 рд╕реНрдерд╛рдкрд┐рдд рдХрд░реЗрдВред

рдЬреИрд╕рд╛ рдХрд┐ рдЖрдк рдбреЗрдЯрд╛рд╢реАрдЯ рд╕реЗ рджреЗрдЦ рд╕рдХрддреЗ рд╣реИрдВ ,



рдкреНрд░реЛрд╕реЗрд╕рд░ рдореЗрдВ рд╕рдореВрд╣реЛрдВ рдореЗрдВ рд╡рд┐рднрд╛рдЬрд┐рдд 40 рдкрд┐рди рд╣реИрдВ: рдПрдбреНрд░реЗрд╕ рдмрд╕, рдбреЗрдЯрд╛ рдмрд╕, рд╕рд┐рд╕реНрдЯрдо рдХрдВрдЯреНрд░реЛрд▓, рдкреНрд░реЛрд╕реЗрд╕рд░ рдХрдВрдЯреНрд░реЛрд▓, рдкреНрд░реЛрд╕реЗрд╕рд░ рдмрд╕ рдХрдВрдЯреНрд░реЛрд▓, рдХреБрдЖрдВ, рдмрд┐рдЬрд▓реА рдФрд░ рдШрдбрд╝реАред рдЗрди рд╕рднреА рдирд┐рд╖реНрдХрд░реНрд╖реЛрдВ рдХрд╛ рдЙрдкрдпреЛрдЧ рд╡рд╛рд╕реНрддрд╡рд┐рдХ рдкреНрд░рдгрд╛рд▓рд┐рдпреЛрдВ рдореЗрдВ рдирд╣реАрдВ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рдЬреИрд╕реЗ рдХрд┐ рдЬреЗрдбрдПрдХреНрд╕ рд╕реНрдкреЗрдХреНрдЯреНрд░рдо, рдЬреИрд╕рд╛ рдХрд┐ рдЖрд░реЗрдЦ рд╕реЗ рджреЗрдЦрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИредред рд╕реНрдкреЗрдХреНрдЯреНрд░рдо рдореЗрдВ рд╕рдореВрд╣ "рдкреНрд░реЛрд╕реЗрд╕рд░ рдирд┐рдпрдВрддреНрд░рдг" рдореЗрдВ, рдХреЗрд╡рд▓ INT рдФрд░ RESET рд╕рдВрдХреЗрддреЛрдВ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред рд╕рд┐рдЧреНрдирд▓ M1 рдХрд╛ рдЙрдкрдпреЛрдЧ "рд╕рд┐рд╕реНрдЯрдо рдХрдВрдЯреНрд░реЛрд▓" рд╕рдореВрд╣ рд╕реЗ рдирд╣реАрдВ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, "рдмрд╕ рдирд┐рдпрдВрддреНрд░рдг" рд╕рдореВрд╣ рдХрд╛ рдЙрдкрдпреЛрдЧ рдирд╣реАрдВ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред рдЗрд╕ рдХреЗ рд▓рд┐рдП рдПрдХ рдХрд╛рд░рдг рд╣реИред рдкреБрд░рд╛рдиреЗ 8-рдмрд┐рдЯ рд╕рд┐рд╕реНрдЯрдо рдмрд╣реБрдд рд╕рд░рд▓ рдереЗ, рдФрд░ рд╕реНрдкреЗрдХреНрдЯреНрд░рдо рдХреЛ рдЬрд┐рддрдирд╛ рд╕рдВрднрд╡ рд╣реЛ рдЙрддрдирд╛ рд╕рд░рд▓ рд╣реЛрдиреЗ рдХреЗ рд╡рд┐рдЪрд╛рд░ рдХреЗ рд╕рд╛рде рдмрдирд╛рдпрд╛ рдЧрдпрд╛ рдерд╛ рдФрд░ рдЬреЛ рдХреБрдЫ рднреА рдЕрдирджреЗрдЦрд╛ рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рдерд╛, рдЙрд╕реЗ рдЕрдирджреЗрдЦрд╛ рдХрд░ рджрд┐рдпрд╛ рдЧрдпрд╛ рдерд╛ред рдмреЗрд╢рдХ, рдкрд░рд┐рдзреАрдп рдирд┐рд░реНрдорд╛рддрд╛ рдЗрдВрдЯрд░рдкреНрдЯ (INT рдФрд░ NMI рд╕рд┐рдЧреНрдирд▓) рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░ рд╕рдХрддреЗ рдереЗ, рдЙрдиреНрд╣реЗрдВ рд╡рд┐рд╕реНрддрд╛рд░ рд╕реНрд▓реЙрдЯ рдореЗрдВ рднреЗрдЬрд╛ рдЧрдпрд╛ рдерд╛, рд▓реЗрдХрд┐рди рд╕реНрдкреЗрдХреНрдЯреНрд░рдо рдореЗрдВ рд╣реА NMI рдХрд╛ рдЙрдкрдпреЛрдЧ рдирд╣реАрдВ рдХрд┐рдпрд╛ рдЧрдпрд╛ рдерд╛ред рдЬреИрд╕рд╛ рдХрд┐ рдЙрдкрд░реЛрдХреНрдд рдЖрд░реЗрдЦ рд╕реЗ рджреЗрдЦрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ, NMI, WAIT, BUSREQ рд╕рдВрдХреЗрддреЛрдВ рдХреЛ рдкрд╛рд╡рд░ рд░реЗрд╕рд┐рд╕реНрдЯрд░реНрд╕ рджреНрд╡рд╛рд░рд╛ рдЦреАрдВрдЪрд╛ рдЬрд╛рддрд╛ рд╣реИ, рдХреНрдпреЛрдВрдХрд┐ рдпреЗ рдирд┐рдореНрди-рд╕реНрддрд░ рдХреЗ рд╕рдХреНрд░рд┐рдп рдЗрдирдкреБрдЯ рд╣реИрдВ (рдпрд╣ рд╕рд┐рдЧреНрдирд▓ рдирд╛рдо рдХреЗ рдКрдкрд░ рдмрд╛рд░ рджреНрд╡рд╛рд░рд╛ рдЗрдВрдЧрд┐рдд рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИ), рдФрд░ рдПрдХ рд▓реЙрдЬрд┐рдХрд▓ рдпреВрдирд┐рдЯ (рдЕрд░реНрдерд╛рдд + 5 рд╡реА) рд╣реЛрдирд╛ рдЪрд╛рд╣рд┐рдП рддрд╛рдХрд┐ рднрдЧрд╡рд╛рди рдиреЗ рдЕрдирд╛рд╡рд╢реНрдпрдХ рд╕рдВрдХреЗрдд рджреЗрдиреЗ рд╕реЗ рдордирд╛ рдХрд┐рдпрд╛ред рдФрд░ рдпрд╣рд╛рдВ рдирд┐рд╖реНрдХрд░реНрд╖, BUSACK, HALT, M1,рдФрд░ рд╣рд╡рд╛ рдореЗрдВ рд▓рдЯрдХрд╛, рдХреБрдЫ рд╕реЗ рдЬреБрдбрд╝рд╛ рдирд╣реАрдВред рд╡реИрд╕реЗ, рдзреНрдпрд╛рди рджреЗрдВ рдХрд┐ рд╕реНрдкреЗрдХреНрдЯреНрд░рдо рдореЗрдВ рдХреЛрдИ рд░реАрд╕реЗрдЯ рдмрдЯрди рдирд╣реАрдВ рд╣реИред рд░реАрд╕реЗрдЯ рдкрд┐рди рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ рдЬреБрдбрд╝рд╛ рд╣реБрдЖ рд╣реИрдЖрд░рд╕реА рдЪреЗрди рдЯреВ рдкрд╛рд╡рд░ (RESET рднреА рдирд┐рдореНрди рд╕реНрддрд░ рд╕реЗ рд╕рдХреНрд░рд┐рдп рд╣реЛрддрд╛ рд╣реИ), рдЪреВрдВрдХрд┐, рдбреЗрдЯрд╢реАрдЯ рдХреЗ рдЕрдиреБрд╕рд╛рд░, RESET рдЪрд╛рд▓реВ рдХрд░рдиреЗ рдХреЗ рдмрд╛рдж, рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЗ рд▓рд┐рдП рдСрдкрд░реЗрдЯрд┐рдВрдЧ рдореЛрдб рдореЗрдВ рдкреНрд░рд╡реЗрд╢ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдХрдо рд╕реЗ рдХрдо 3 рдШрдбрд╝реА рдЪрдХреНрд░ рд╕рдХреНрд░рд┐рдп рд╣реЛрдирд╛ рдЪрд╛рд╣рд┐рдПред рдпрд╣ рдЖрд░рд╕реА-рд╕рд░реНрдХрд┐рдЯ рдПрдХ рдирд┐рдореНрди рд╕реНрддрд░ рд░рдЦрддрд╛ рд╣реИ рдЬрдм рддрдХ рдХрд┐ рд╕рдВрдзрд╛рд░рд┐рддреНрд░ рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ рд╕рдВрдзрд╛рд░рд┐рддреНрд░ рдХреЛ рдЙрдЪреНрдЪ рд╕реНрддрд░ рдкрд░ рдЪрд╛рд░реНрдЬ рдирд╣реАрдВ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред

рдЖрдЗрдП рд╕рдВрдХреНрд╖реЗрдк рдореЗрдВ рд╢реЗрд╖ рд╕рдВрдХреЗрддреЛрдВ рдкрд░ рдЬрд╛рдПрдВ:
рдПрдо 1ред рд╣рдореЗ рдирд╣реАрдВ рдЪрд╛рд╣рд┐рдПред рд╡рд╣ рд░рд┐рдкреЛрд░реНрдЯ рдХрд░рддрд╛ рд╣реИ рдХрд┐ рдкреНрд░реЛрд╕реЗрд╕рд░ рдиреЗ рдЕрдЧрд▓реЗ рдирд┐рд░реНрджреЗрд╢ рдХреЛ рдирд┐рд╖реНрдкрд╛рджрд┐рдд рдХрд░рдирд╛ рд╢реБрд░реВ рдХрд░ рджрд┐рдпрд╛ред
MREQред рдореБрдЭреЗ рдЬрд░реВрд░рдд рдкрдбрддрд╛ рд╣реИред рдпрд╣ рд░рд┐рдкреЛрд░реНрдЯ рдХрд░рддрд╛ рд╣реИ рдХрд┐ рдкреНрд░реЛрд╕реЗрд╕рд░ рдореЗрдореЛрд░реА рддрдХ рдкрд╣реБрдВрдЪ рд░рд╣рд╛ рд╣реИред рдпрджрд┐ рдпрд╣ рд╕рдВрдХреЗрдд рдХрдо рд╣реЛ рдЬрд╛рддрд╛ рд╣реИ (рдЬреЛ рдХрд┐ рдмрд┐рдЬрд▓реА рдЬрдореАрди рд╕реЗ рдЬреБрдбрд╝рд╛ рд╣реБрдЖ рд╣реИ), рддреЛ рд╣рдореЗрдВ рдкреНрд░реЛрд╕реЗрд╕рд░ рд╕реЗ рдЬреБрдбрд╝реА рдореЗрдореЛрд░реА рдХреЛ рд╕рдХреНрд░рд┐рдп рдХрд░рдиреЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реЛрдЧреАред
IOREQ ред рдореБрдЭреЗ рдЬрд░реВрд░рдд рдкрдбрддрд╛ рд╣реИред рдпрд╣ рд░рд┐рдкреЛрд░реНрдЯ рдХрд░рддрд╛ рд╣реИ рдХрд┐ рдкреНрд░реЛрд╕реЗрд╕рд░ рдПрдХ рдкрд░рд┐рдзреАрдп рдЙрдкрдХрд░рдг рддрдХ рдкрд╣реБрдВрдЪ рд░рд╣рд╛ рд╣реИред рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, рдХреАрдмреЛрд░реНрдб рдХреЗ рд▓рд┐рдПред
рдЖрд░рдбреА ред рдореБрдЭреЗ рдЬрд░реВрд░рдд рдкрдбрддрд╛ рд╣реИред рд╕реВрдЪрд┐рдд рдХрд░рддрд╛ рд╣реИ рдХрд┐ рдкреНрд░реЛрд╕реЗрд╕рд░ рдореЗрдореЛрд░реА рд╕реЗ рдбреЗрдЯрд╛ рдкрдврд╝реЗрдЧрд╛ (рдпрджрд┐ MREQ рд╕рдХреНрд░рд┐рдп рд╣реИ) рдпрд╛ рдмрд╛рд╣реНрдп рдЙрдкрдХрд░рдгреЛрдВ (IOREQ)ред
рд▓рд┐рдЦ рджрд┐рдпрд╛ ред рдореБрдЭреЗ рдЬрд░реВрд░рдд рдкрдбрддрд╛ рд╣реИред рд░рд┐рдкреЛрд░реНрдЯ рдХрд░рддрд╛ рд╣реИ рдХрд┐ рдкреНрд░реЛрд╕реЗрд╕рд░ рдореЗрдореЛрд░реА / рдмрд╛рд╣реНрдп рдЙрдкрдХрд░рдгреЛрдВ рдХреЛ рдбреЗрдЯрд╛ рд▓рд┐рдЦреЗрдЧрд╛ред
рдЖрд░рдПрдлрдПрд╕рдПрдЪ ред рдореБрдЭреЗ рдЬрд░реВрд░рдд рдкрдбрддрд╛ рд╣реИред рд╕рд╛рдорд╛рдиреНрдп рддреМрд░ рдкрд░, рдбрд╛рдпрдирд╛рдорд┐рдХ рдореЗрдореЛрд░реА (DRAM) рдХреЗ рд▓рд┐рдП рдЗрд╕ рд╕рд┐рдЧреНрдирд▓ рдХреА рдЬрд░реВрд░рдд рд╣реЛрддреА рд╣реИред рдореИрдВ рдЗрд╕рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдиреЗ рдХреА рдпреЛрдЬрдирд╛ рдирд╣реАрдВ рдмрдирд╛рддрд╛ рд╣реВрдВ, рдХреНрдпреЛрдВрдХрд┐ рдЗрд╕рдХрд╛ рдкрддрд╛ рд▓рдЧрд╛рдирд╛ рдЕрдзрд┐рдХ рдХрдард┐рди рд╣реИ (рдореИрдЯреНрд░рд┐рдХреНрд╕, рд░реИрдЦрд┐рдХ рдирд╣реАрдВ, рдпрд╣реА рд╣реИ, рдорд▓реНрдЯреАрдкреНрд▓реЗрдХреНрд╕ рд╕реНрдерд╛рдкрд┐рдд рдХрд░рдирд╛ рдЖрд╡рд╢реНрдпрдХ рд╣реЛрдЧрд╛), рдФрд░ рд╕рд╛рдорд╛рдиреНрдп рддреМрд░ рдкрд░, рд╣рдорд╛рд░реЗ рд╕рдордп рдореЗрдВ, рдХрдо рдХреНрд╖рдорддрд╛ рд╡рд╛рд▓реЗ SRAM рдорд╛рдЗрдХреНрд░реЛрдХрд┐рд░рд┐рдХреЗрдЯреНрд╕ рдкреНрд░рд╛рдкреНрдд рдХрд░рдирд╛ рдЖрд╕рд╛рди рд╣реЛрддрд╛ рд╣реИред рд▓реЗрдХрд┐рди рдЪреВрдВрдХрд┐ рдкреНрд░реЛрд╕реЗрд╕рд░ рдЦреБрдж рдореЗрдореЛрд░реА рдмрд╕ рдкрд░ рдкрддреЛрдВ рдХреЛ рдЫрд╛рдБрдЯрдХрд░ DRAM рдХреЛ рдкреБрди: рдмрдирд╛рддрд╛ рд╣реИ, рдпрд╣ рд╕рд┐рдЧреНрдирд▓ рд╣рдореЗрдВ рдкреБрдирд░реНрдЬрдирди рдЪрдХреНрд░реЛрдВ рдХреЛ рдЕрдирджреЗрдЦрд╛ рдХрд░рдиреЗ рдФрд░ рд╕рдХреНрд░рд┐рдп RFSH рдХреЗ рд╕рд╛рде рдореЗрдореЛрд░реА рдХреЛ рд╕рдХреНрд░рд┐рдп рдХрд░рдиреЗ рдХреА рдЕрдиреБрдорддрд┐ рдирд╣реАрдВ рджреЗрдЧрд╛ред
рдПрдЪрдПрдПрд▓рдЯреА ред рдЬрд░реВрд░рдд рдирд╣реАрдВред рдЗрдВрдЧрд┐рдд рдХрд░рддрд╛ рд╣реИ рдХрд┐ рдкреНрд░реЛрд╕реЗрд╕рд░ рдмрдВрдж рд╣реИред
рд░реБрдХреЛред рдЬрд░реВрд░рдд рдирд╣реАрдВред рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЛ рд░реЛрдХрдиреЗ рдФрд░ рдереЛрдбрд╝рд╛ рдЗрдВрддрдЬрд╛рд░ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдпрд╣ рд╕рдВрдХреЗрдд рджреЗрдиреЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реИред рдЖрдорддреМрд░ рдкрд░ рдзреАрдореА рдкрд░рд┐рдзреАрдп рдпрд╛ рд╕реНрдореГрддрд┐ рджреНрд╡рд╛рд░рд╛ рдЙрдкрдпреЛрдЧ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред рд▓реЗрдХрд┐рди рд╕реНрдкреЗрдХреНрдЯреНрд░рдо рдореЗрдВ рдирд╣реАрдВред рдЬрдм рд╕реНрдкреЗрдХреНрдЯреНрд░рдо рдкрд░рд┐рдзреАрдп (ULA) рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЛ рдмрдВрдж рдХрд░рдиреЗ рдХрд╛ рдлреИрд╕рд▓рд╛ рдХрд░рддрд╛ рд╣реИ, рддреЛ рдпрд╣ рдмрд╕ рдЗрд╕реЗ рдПрдХ рдШрдбрд╝реА рд╕рдВрдХреЗрдд рднреЗрдЬрдирд╛ рдмрдВрдж рдХрд░ рджреЗрддрд╛ рд╣реИред рдпрд╣ рдЕрдзрд┐рдХ рд╡рд┐рд╢реНрд╡рд╕рдиреАрдп рд╣реИ, рдХреНрдпреЛрдВрдХрд┐ WAIT рдкреНрд░рд╛рдкреНрдд рдХрд░рдиреЗ рдХреЗ рдмрд╛рдж, рдкреНрд░реЛрд╕реЗрд╕рд░ рддреБрд░рдВрдд рдмрдВрдж рдирд╣реАрдВ рдХрд░рддрд╛ рд╣реИред
рдЗрдВрдЯ ред рдЗрдВрдЯрд░рдкреНрдЯред рдпрд╣ рдЕрднреА рддрдХ рд╕реНрдкрд╖реНрдЯ рдирд╣реАрдВ рд╣реИред рд╣рдо рдорд╛рдирддреЗ рд╣реИрдВ рдХрд┐ рдЗрд╕рдХреА рдЕрднреА рдЬрд░реВрд░рдд рдирд╣реАрдВ рд╣реИред рддрдм рд╣рдо рдЗрд╕рдХрд╛ рдкрддрд╛ рд▓рдЧрд╛рдПрдВрдЧреЗред
NMI ред рдмреЗрдкрдирд╛рд╣ рд░реБрдХрд╛рд╡рдЯред рд╕реБрдкрд░ рдЗрдВрдЯрд░рдкреНрдЯред рдЖрд╡рд╢реНрдпрдХ рдирд╣реАрдВред
рдкрд░рд┐рдгрд╛рдо ред рдЗрд╕рдХреЗ рдмрд┐рдирд╛, рдпрд╣ рдЙрдбрд╝ рдирд╣реАрдВ рд╕рдХрддрд╛ рдерд╛ред
BUSREQ ред рдЬрд░реВрд░рдд рдирд╣реАрдВред рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЛ рдбреЗрдЯрд╛ / рдПрдбреНрд░реЗрд╕ рдмрд╕реЛрдВ рд╕реЗ рдбрд┐рд╕реНрдХрдиреЗрдХреНрдЯ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП, рд╕рд╛рде рд╣реА рдирд┐рдпрдВрддреНрд░рдг рд╕рдВрдХреЗрддреЛрдВ рдХреЛ рднреА рдкреВрдЫрддрд╛ рд╣реИред рдпрджрд┐ рдХреЛрдИ рдбрд┐рд╡рд╛рдЗрд╕ рдмрд╕ рдкрд░ рдирд┐рдпрдВрддреНрд░рдг рдкреНрд░рд╛рдкреНрдд рдХрд░рдирд╛ рдЪрд╛рд╣рддрд╛ рд╣реИ рддреЛ рдпрд╣ рдЖрд╡рд╢реНрдпрдХ рд╣реИред
BUSACKред рдЬрд░реВрд░рдд рдирд╣реАрдВред BUSREQ рдкреНрд░рджрд░реНрд╢рди рдХрд░рдиреЗ рд╡рд╛рд▓реЗ рдбрд┐рд╡рд╛рдЗрд╕ рдХреЛ рд╕реВрдЪрд┐рдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдХрд╛рд░реНрдп рдХрд░рддрд╛ рд╣реИ рдХрд┐ рдмрд╕ рдореБрдлрд╝реНрдд рд╣реИред
рдХреНрд▓реЙрдХ ред рдШрдбрд╝реА рдХрд╛ рд╕рдВрдХреЗрддред рдЬрд╛рд╣рд┐рд░ рд╣реИ, рдЙрд╕рдХреА рдЬрд░реВрд░рдд рд╣реИред
рднреЛрдЬрди рднреА рдЪрд╛рд╣рд┐рдПред рдбреЗрд╡рд▓рдкрд░реНрд╕ рдХреЗ рд▓рд┐рдП рдорд╣рд┐рдорд╛, рдХреЗрд╡рд▓ + 5V / GNDред рдЖрдкрдХреЗ рд▓рд┐рдП рдХреЛрдИ 3 рддрдирд╛рд╡ рдирд╣реАрдВ рд╣реИред
A0-A15 рдПрдбреНрд░реЗрд╕ рдмрд╕ рд╣реИред рдЗрд╕ рдкрд░, рдкреНрд░реЛрд╕реЗрд╕рд░ рдЙрдЪрд┐рдд рдХреЙрд▓ рдХреЗ рд╕рд╛рде рдпрд╛ рддреЛ рдореЗрдореЛрд░реА рдПрдбреНрд░реЗрд╕ (MREQ рд╕рдХреНрд░рд┐рдп рд╣реИ) рдпрд╛ I / O рдкреЛрд░реНрдЯ рдПрдбреНрд░реЗрд╕ (IOREQ рд╕рдХреНрд░рд┐рдп рд╣реИ) рдкреНрд░рджрд░реНрд╢рд┐рдд рдХрд░рддрд╛ рд╣реИред рдЬреИрд╕рд╛ рдХрд┐ рдЖрдк рджреЗрдЦ рд╕рдХрддреЗ рд╣реИрдВ, рдмрд╕ 16 рдмрд┐рдЯреНрд╕ рдЪреМрдбрд╝реА рд╣реИ, рдЬреЛ рдЖрдкрдХреЛ 64 рдХреЗрдмреА рдХреА рдореЗрдореЛрд░реА рдХреЛ рд╕реАрдзреЗ рд╕рдВрдмреЛрдзрд┐рдд рдХрд░рдиреЗ рдХреА рдЕрдиреБрдорддрд┐ рджреЗрддреА рд╣реИред
D0-D7 - рдбреЗрдЯрд╛ рдмрд╕ред рдкреНрд░реЛрд╕реЗрд╕рд░ рдЗрд╕реЗ (WR рд╕рдХреНрд░рд┐рдп) рдЖрдЙрдЯрдкреБрдЯ рдХрд░рддрд╛ рд╣реИ, рдпрд╛ рдЙрд╕рд╕реЗ (RD рд╕рдХреНрд░рд┐рдп) рдЕрдиреБрд░реЛрдзрд┐рдд рдбреЗрдЯрд╛ рдХреЛ рдкрдврд╝рддрд╛ рд╣реИред

рдЗрд╕рд▓рд┐рдП, рд╣рдо рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЛ рдмреНрд░реЗрдбрдмреЛрд░реНрдб рдкрд░ рд░рдЦреЗрдВрдЧреЗред рддреЛ рдЙрд╕рдХреЗ рдирд┐рд╖реНрдХрд░реНрд╖ рд╢рд╛рд░реАрд░рд┐рдХ рд░реВрдк рд╕реЗ рд╕реНрдерд┐рдд рд╣реИрдВ:

рдЫрд╡рд┐

рдмрд┐рдЬрд▓реА рдХрдиреЗрдХреНрдЯ рдХрд░реЗрдВ (рдкрд┐рди 11 рдФрд░ 29)ред рдмрд╕ рдХреЗ рдорд╛рдорд▓реЗ рдореЗрдВ, рдореИрдВ рднреА рдЗрди рдкреИрд░реЛрдВ рдХреЗ рдмреАрдЪ рдПрдХ 10 pF рд╕рдВрдзрд╛рд░рд┐рддреНрд░ рдбрд╛рд▓ рджрд┐рдпрд╛ред рд▓реЗрдХрд┐рди рдЙрдиреНрд╣реЛрдВрдиреЗ рдЖрдЦрд┐рд░ рдореЗрдВ рдореЗрд░реА рдорджрдж рдирд╣реАрдВ рдХреАред рдкрд┐рдиреНрд╕ 27, 23, 18 рдХрд┐рд╕реА рднреА рдЪреАрдЬрд╝ рд╕реЗ рдЕрд╕рдВрдмрджреНрдз рд░рд╣ рд╕рдХрддреЗ рд╣реИрдВред рдкрд┐рдВрд╕ 26, 25, 24, 17, 16 рдХреЛ рдмрд┐рдЬрд▓реА рдХреА рдЖрдкреВрд░реНрддрд┐ рдХреЗ рд▓рд┐рдП рдкреНрд░рддрд┐рд░реЛрдзреЛрдВ (рдореИрдВрдиреЗ 10 kOhm рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд┐рдпрд╛) рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ рдЬреБрдбрд╝рд╛ рд╣реБрдЖ рд╣реИред рдореИрдВрдиреЗ рдмреНрд░реЗрдбрдмреЛрд░реНрдб рдХреЗ рд╡рд┐рдкрд░реАрдд рдкрддрд╛ рдмрд╕ (рдкрд┐рди 1-5 рдФрд░ 30-40) рдХреЛ рд▓рд╛рдпрд╛, рдФрд░ рдбреЗрдЯрд╛ рдмрд╕ (рдкрд┐рди 7-10 рдФрд░ 12-15) рдХреЛ рдкреНрд░реЛрдЯреЛрдЯрд╛рдЗрдк рдкрд╛рд╡рд░ рдмрд╕реЛрдВ рд╕реЗ рдмрдирд╛рдИ рдЧрдИ рдПрдХ рдЕрд▓рдЧ рдбреЗрдЯрд╛ рдмрд╕ рдореЗрдВ рд▓рд╛рдпрд╛ред
рдкрд┐рди 6 (рдШрдбрд╝реА рд╕рдВрдХреЗрдд) рдФрд░ 26 (RESET) Arduin рд╕реЗ рдЬреБрдбрд╝реЗ (рдмрд╛рдж рдореЗрдВ) рд╣реИрдВ рддрд╛рдХрд┐ рдЖрдк рдЗрд╕рд╕реЗ рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЛ рдирд┐рдпрдВрддреНрд░рд┐рдд рдХрд░ рд╕рдХреЗрдВред

рдпрд╣ рдЗрд╕ рддрд░рд╣ рдирд┐рдХрд▓рд╛:



рдЬрдм рддрдХ рдЖрдк рдКрдкрд░ рд╕реЗ рддрд╛рд░реЛрдВ рдкрд░ рдзреНрдпрд╛рди рдирд╣реАрдВ рджреЗрддреЗ рд╣реИрдВ, рддрдм рддрдХ рд╡реЗ рд░реЛрдо рд╕реЗ рдЪрд▓реЗ рдЬрд╛рддреЗ рд╣реИрдВ, рд╣рдо рдмрд╛рдж рдореЗрдВ рдЗрд╕ рдкрд░ рдЖрдЧреЗ рдмрдврд╝реЗрдВрдЧреЗред рдЗрд╕рдХреЗ рдЕрд▓рд╛рд╡рд╛, рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЗ рдмрдЧрд▓ рдореЗрдВ рдлреЛрдЯреЛ рдореЗрдВ, рдПрдХ рдФрд░ рдЪрд┐рдк рджрд┐рдЦрд╛рдИ рджреЗрддреА рд╣реИред рд╣рдореЗрдВ рдкрддреЗ рдХреЗ рдКрдкрд░реА рдмрд┐рдЯреНрд╕ рдХреЛ рдбреАрдХреЛрдб рдХрд░рдиреЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реИред рдЬреИрд╕рд╛ рдХрд┐ рдореИрдВрдиреЗ рдКрдкрд░ рдХрд╣рд╛, рд╕реНрдкреЗрдХреНрдЯреНрд░рдо рдореЗрдВ 3 рдкреНрд░рдХрд╛рд░ рдХреА рдореЗрдореЛрд░реА рд╣реЛрддреА рд╣реИред рдкрддрд╛ рд╕реНрдерд╛рди рдХрд╛ рдирд┐рдЪрд▓рд╛ 16 KiB ROM рд╣реИред рддрджрдиреБрд╕рд╛рд░, рдпрджрд┐ рдЯрд░реНрдорд┐рдирд▓реЛрдВ A14 рдФрд░ A15 рдХрдо рд╕реНрдерд┐рддрд┐ (0 рд╡реЛрд▓реНрдЯ) рдореЗрдВ рд╣реИрдВ, рддреЛ рд╣рдореЗрдВ рдмрд╕ рд╕реЗ ROM рдЪрд┐рдк рдХреЛ рдЫреЛрдбрд╝рдХрд░ рд╕рдм рдХреБрдЫ рдбрд┐рд╕реНрдХрдиреЗрдХреНрдЯ рдХрд░рдиреЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реИред рдЕрдЧрд▓реА рд╕рд╛рдЭрд╛ рдореЗрдореЛрд░реА рдХреА 16 KiB рд╣реИред рддрджрдиреБрд╕рд╛рд░, рд╣рдореЗрдВ рдЗрд╕ рдореЗрдореЛрд░реА рдХреЛ рдмрд╕ рд╕реЗ рдХрдиреЗрдХреНрдЯ рдХрд░рдирд╛ рд╣реЛрдЧрд╛ (рдФрд░ рдмрд╛рдХреА рдХреЛ рдбрд┐рд╕реНрдХрдиреЗрдХреНрдЯ рдХрд░реЗрдВ) рдпрджрд┐ рдЖрдЙрдЯрдкреБрдЯ рдП 15 рдХрдо рд╣реИ рдФрд░ рдП 14 рдЙрдЪреНрдЪ (+5 рд╡реЛрд▓реНрдЯ) рд╣реИред рдЦреИрд░, рддрдм 32 kB рддреЗрдЬ рдореЗрдореЛрд░реА рдЖрддреА рд╣реИред рд╣рдо рдЗрд╕ рдореЗрдореЛрд░реА рдХреЛ рдмрд╛рдж рдореЗрдВ рд╕рдВрд▓рдЧреНрди рдХрд░реЗрдВрдЧреЗ, рдФрд░ рдпрджрд┐ A15 рдЙрдЪреНрдЪ рд╕реНрдерд┐рддрд┐ рдореЗрдВ рд╣реИ, рддреЛ рд╣рдо рдЗрд╕реЗ рд╕рдХреНрд░рд┐рдп рдХрд░ рджреЗрдВрдЧреЗред рдЗрд╕рдХреЗ рдЕрд▓рд╛рд╡рд╛, рдпрд╣ рдордд рднреВрд▓реЛ рдХрд┐ рд╣рдо рд╕рдХреНрд░рд┐рдп рд╣реЛрдиреЗ рдкрд░ рдХреЗрд╡рд▓ рдореЗрдореЛрд░реА рдХреЛ рд╕рдХреНрд░рд┐рдп рдХрд░рддреЗ рд╣реИрдВ (рдпрд╣рд╛рдВ, рд╕рдХреНрд░рд┐рдп - рдХрдо,0 рд╡реЛрд▓реНрдЯ) MREQ рдФрд░ рдирд┐рд╖реНрдХреНрд░рд┐рдп (рдпрд╣рд╛рдБ, рдирд┐рд╖реНрдХреНрд░рд┐рдп - рдЙрдЪреНрдЪ, + 5 V) RFSHред рдпрд╣ рд╕рдм рдорд╛рдирдХ рддрд░реНрдХ рдкрд░ рд▓рд╛рдЧреВ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдХрд╛рдлреА рд╕рд░рд▓ рд╣реИ, рдПрдХ рд╣реА рдирдВрдж рдкрд░, рдЬреИрд╕реЗ рдХрд┐ 74HC00, рдпрд╛ рд░реВрдврд╝рд┐рд╡рд╛рджреА K155LA3, рдФрд░ рдореИрдВ рд╕рдордЭрддрд╛ рд╣реВрдВ рдХрд┐ рдпрд╣ рдХрд╛рд░реНрдп рдмрд╛рд▓рд╡рд╛рдбрд╝реА рдХреЗ рдкреНрд░рд╛рд░рдВрднрд┐рдХ рд╕рдореВрд╣ рдХреЗ рд▓рд┐рдП рд╣реИ, рд╣рд╛рд▓рд╛рдВрдХрд┐, рдореИрдВ рдХреЗрд╡рд▓ рд╕реНрд╡рддрдВрддреНрд░рддрд╛ рдФрд░ рдХреИрдж рдореЗрдВ рд╕рддреНрдп рддрд╛рд▓рд┐рдХрд╛рдУрдВ рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рд╕реЛрдЪ рд╕рдХрддрд╛ рд╣реВрдВредрдореЗрд░реЗ рдкрд╛рд╕ рд╡рд╣рд╛рдВ рдПрдХ рдкреВрд░рд╛ рд╣рд░реНрд▓реЗрдХреНрд╡рд┐рди рдЖрд░реЗрдЦ рд╣реИ , рдЬрд┐рд╕рдореЗрдВ рд╕реЗ рдЖрдк рдмрд╕ рдЙрд╕ рд╣рд┐рд╕реНрд╕реЗ рдХреЛ рд▓реЗ рд╕рдХрддреЗ рд╣реИрдВ рдЬрд╣рд╛рдВ рдпреВ 4 рдЦреАрдВрдЪрд╛ рдЧрдпрд╛ рд╣реИ (74HC138, рд╕реМрднрд╛рдЧреНрдп рд╕реЗ рдореЗрд░реЗ рдкрд╛рд╕ рдЙрдирдореЗрдВ рд╕реЗ рд▓рдЧрднрдЧ рд╕реМ рд╣реИрдВ)ред рд╣рдо U11 рдХреЛ рд╕реНрдкрд╖реНрдЯрддрд╛ рдХреЗ рд▓рд┐рдП рдирдЬрд░рдЕрдВрджрд╛рдЬ рдХрд░ рджреЗрдВрдЧреЗ, рдХреНрдпреЛрдВрдХрд┐ рдКрдкрд░реА 32KiB рд╣рдорд╛рд░реЗ рд▓рд┐рдП рдЕрдм рддрдХ рдХреЛрдИ рджрд┐рд▓рдЪрд╕реНрдкреА рдирд╣реАрдВ рд╣реИред

рдХрдиреЗрдХреНрдЯ рдХрд░рдирд╛ рдмрд╣реБрдд рд╕рд░рд▓ рд╣реИред



рдЬреИрд╕рд╛ рдХрд┐ рд╕рдВрдХреНрд╖рд┐рдкреНрдд рд╡рд┐рд╡рд░рдг рд╕реЗ рджреЗрдЦрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИMicrocircuit рдПрдХ рдбрд┐рдХреЛрдбрд░ рд╣реИ рдЬреЛ 3 рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ рдЯрд░реНрдорд┐рдирд▓реЛрдВ 1 рдкрд░ 000 рд╕реЗ 111 рддрдХ рджреНрд╡рд┐рдЖрдзрд╛рд░реА рд╕рдВрдЦреНрдпрд╛ рдкреНрд░рд╛рдкреНрдд рдХрд░рддрд╛ рд╣реИ рдФрд░ рдЗрд╕ рд╕рдВрдЦреНрдпрд╛ рдХреЗ рдЕрдиреБрд░реВрдк 8 рдЖрдЙрдЯрдкреБрдЯ (рдкреИрд░ 7 рдФрд░ 9 рд╕реЗ 15) рдореЗрдВ рд╕реЗ рдПрдХ рдХреЛ рд╕рдХреНрд░рд┐рдп рдХрд░рддрд╛ рд╣реИред рдЪреВрдВрдХрд┐ рдХреЗрд╡рд▓ 8 рдЕрд▓рдЧ-рдЕрд▓рдЧ рд╕рдВрдЦреНрдпрд╛ 3 рдмрд┐рдЯреНрд╕ рдореЗрдВ рд╕рдВрдЧреНрд░рд╣реАрдд рдХреА рдЬрд╛ рд╕рдХрддреА рд╣реИрдВ, рдХреЗрд╡рд▓ рдЖрда рдЖрдЙрдЯрдкреБрдЯ рд╣реИрдВред рдЬреИрд╕рд╛ рдХрд┐ рдЖрдк рджреЗрдЦ рд╕рдХрддреЗ рд╣реИрдВ, рдирд┐рд╖реНрдХрд░реНрд╖ рдЙрд▓рдЯреЗ рд╣реИрдВ, рдЕрд░реНрдерд╛рддреН, рдЬреЛ рд╕рдХреНрд░рд┐рдп рд╣реЛрдЧрд╛ рд╡рд╣ 0V рдХрд╛ рд╕реНрддрд░ рд╣реЛрдЧрд╛, рдФрд░ рдЕрдиреНрдп рд╕рднреА + 5 рд╡реАред рдЗрд╕рдХреЗ рдЕрд▓рд╛рд╡рд╛, "I" рдкреНрд░рдХрд╛рд░ рдХреЗ 3-рдЗрдирдкреБрдЯ рдЧреЗрдЯ рдХреЗ рд░реВрдк рдореЗрдВ рдПрдХ рдХреБрдВрдЬреА рдЪрд┐рдк рдореЗрдВ рдмрдирд╛рдИ рдЧрдИ рд╣реИ, рдФрд░ рдЗрд╕рдХреЗ рджреЛ рддреАрди рдЗрдирдкреБрдЯ рднреА рдЙрд▓рдЯреЗ рд╣реИрдВред

рд╣рдорд╛рд░реЗ рдорд╛рдорд▓реЗ рдореЗрдВ, рд╣рдо рдбрд┐рдХреЛрдбрд░ рдХреЛ рдЗрд╕ рдкреНрд░рдХрд╛рд░ рд╕реЗ рдЬреЛрдбрд╝рддреЗ рд╣реИрдВ: рдЬрдореАрди рдкрд░ рд╕рдмрд╕реЗ рдорд╣рддреНрд╡рдкреВрд░реНрдг рдмрд┐рдЯ (рддреАрд╕рд░рд╛ рдкреИрд░), рд╣рдореЗрд╢рд╛ 0 рд╣реЛрдЧрд╛ред рдордзреНрдп рдмрд┐рдЯ A15 рдХреЛ рдкрдВрдХреНрддрд┐ рдореЗрдВ рд╣реИред 1 рдХреЗрд╡рд▓ рддрднреА рд╣реЛрдЧрд╛ рдЬрдм рдкреНрд░реЛрд╕реЗрд╕рд░ рдореЗрдореЛрд░реА рдХреЗ рдКрдкрд░реА 32Ki (рдЕрднрд┐рдЧрдо 0x8000 - 0xFFFF, рдпрд╛ 1000000000000000 - 1111111111111111 рдмрд╛рдЗрдирд░реА рдореЗрдВ рдПрдХреНрд╕реЗрд╕ рдХрд░рддрд╛ рд╣реИ, рдЬрдм рд╕рдмрд╕реЗ рдорд╣рддреНрд╡рдкреВрд░реНрдг рдмрд┐рдЯ рд╣рдореЗрд╢рд╛ 1 рдкрд░ рд╕реЗрдЯ рд╣реЛрддрд╛ рд╣реИ)ред рд╣рдо рдХрдо рд╕реЗ рдХрдо рдорд╣рддреНрд╡рдкреВрд░реНрдг рдмрд┐рдЯ рдХреЛ A14 рд▓рд╛рдЗрди рд╕реЗ рдЬреЛрдбрд╝рддреЗ рд╣реИрдВ, рдЬрд╣рд╛рдВ рдкрд╣рд▓реЗ 16 KiB рдХреЗ рдмрд╛рдж рдпрд╛ рддреЛ рдореЗрдореЛрд░реА рддрдХ рдкрд╣реБрдБрдЪрдиреЗ рдХреЗ рдорд╛рдорд▓реЗ рдореЗрдВ рдЙрдЪреНрдЪ рд╕реНрддрд░ рд╣реЛрдЧрд╛, рд▓реЗрдХрд┐рди рд╢реАрд░реНрд╖ 32 KiB рддрдХ (рдкрддреЗ 0x4000 - 0x7FFF, рдпрд╛ 01007000000000000 - 01111111111111 рдмрд╛рдЗрдирд░реА рдлреЙрд░реНрдо рдореЗрдВ), рдпрд╛ рдкрддреЗ рдХреЗ рд╕рдмрд╕реЗ рд╣рд╛рд▓ рдХреЗ 16 KiB рддрдХ рд░рд┐рдХреНрдд рд╕реНрдерд╛рди (рдкрддреЗ 0xB000 - 0xFFFF, рдпрд╛ 1100000000000000 - 1111111111111111 рджреНрд╡рд┐рдЖрдзрд╛рд░реА рд░реВрдк рдореЗрдВ)ред

рдЖрдЗрдП рджреЗрдЦреЗрдВ рдХрд┐ рдкреНрд░рддреНрдпреЗрдХ рдорд╛рдорд▓реЗ рдореЗрдВ рдЖрдЙрдЯрдкреБрдЯ рдХреНрдпрд╛ рд╣реЛрдЧрд╛:

  • 14 15 , 16 , , 000, 0 ( ), Y0 (15 ). , .
  • 14 , 15 тАФ , 16 , 32 , 001, 1 , Y1 (14 ). , 16 , .
  • 14 , 15 тАФ , - 32 48 , 010, Y2 (13 ). , .
  • рдпрджрд┐ рджреЛрдиреЛрдВ рд▓рд╛рдЗрдиреЗрдВ (A14 рдФрд░ A15) рд╕рдХреНрд░рд┐рдп рд╣реИрдВ, рддреЛ рдкреНрд░реЛрд╕реЗрд╕рд░ 48 рд╕реЗ 64 KiB рддрдХ рдореЗрдореЛрд░реА рдХреЗ рд╢реАрд░реНрд╖ 16 KiB рддрдХ рдкрд╣реБрдВрдЪрддрд╛ рд╣реИ, рд╣рдорд╛рд░реЗ рдкрд╛рд╕ рдпрд╣ рдирд╣реАрдВ рд╣реИ, рдЗрд╕рд▓рд┐рдП Y3 рдкрд┐рди (12 рд╡реАрдВ рдкрд┐рди) рднреА рд╣рд╡рд╛ рдореЗрдВ рд╣реИред

рдЗрд╕рдХреЗ рдЕрд▓рд╛рд╡рд╛, рдПрдХ рдЕрдиреНрдп рддрддреНрд╡ рдХреЗ рд▓рд┐рдП рдзрдиреНрдпрд╡рд╛рдж, рдорд╛рдЗрдХреНрд░реЛрдХрд┐рд░рд┐рдЯ рдХреЗрд╡рд▓ рдЕрдкрдиреЗ рдирд┐рд╖реНрдХрд░реНрд╖реЛрдВ рдХреЛ рд╕рдХреНрд░рд┐рдп рдХрд░реЗрдЧрд╛ рдпрджрд┐ рдЗрдирдкреБрдЯ 4 рдФрд░ 5 рдХрдо рд╣реИрдВ рдФрд░ 6 рдЙрдЪреНрдЪ рд╣реИрдВред 4 рдЗрдирдкреБрдЯ рд╣рдореЗрд╢рд╛ рдирд┐рдореНрди рд╕реНрдерд┐рддрд┐ рдореЗрдВ рд╣реЛрддрд╛ рд╣реИ (рдпрд╣ рд╕реАрдзреЗ рдЬрдореАрди рд╕реЗ рдЬреБрдбрд╝рд╛ рд╣реЛрддрд╛ рд╣реИ), 5 рд╡реАрдВ рдХреЗрд╡рд▓ рддрдм рдХрдо рд╣реЛрдЧреА рдЬрдм рдкреНрд░реЛрд╕реЗрд╕рд░ рдореЗрдореЛрд░реА рддрдХ рдкрд╣реБрдВрдЪ рд░рд╣рд╛ рд╣реЛ (рдпрд╛рдж рд░рдЦреЗрдВ, рдирд┐рдореНрди рд╕реНрдерд┐рддрд┐ рдореЗрдВ MREQ рдХрд╛ рдЕрд░реНрде рдореЗрдореЛрд░реА рддрдХ рдкрд╣реБрдВрдЪ рд╣реИ), рдФрд░ 6 рдЙрдЪреНрдЪ рддрдм рд╣реЛрдЧрд╛ рдЬрдм рдкреНрд░реЛрд╕реЗрд╕рд░ рдЕрдкрдбреЗрдЯ рдЪрдХреНрд░ рдирд╣реАрдВ рдХрд░реЗрдЧрд╛ред DRAM (рд╣рдорд╛рд░реЗ рдкрд╛рд╕ SRAM рд╣реИ, рдЗрд╕рд▓рд┐рдП DRAM рдЕрдкрдбреЗрдЯ рд╕рд╛рдЗрдХрд┐рд▓ рд╕рд┐рд░реНрдл рдирдЬрд░рдЕрдВрджрд╛рдЬ рдХрд░рдиреЗ рдХрд╛ рд╕рдмрд╕реЗ рд╕реБрд░рдХреНрд╖рд┐рдд рддрд░реАрдХрд╛ рд╣реИ)ред рдпрд╣ рдорд╣рд╛рди рдирд┐рдХрд▓рд╛ред

рдЕрдЧрд▓рд╛, рд░реЙрдо рдбрд╛рд▓ рджрд┐рдпрд╛ред

рдореИрдВрдиреЗ W27C512 рд▓рд┐рдпрд╛ рдХреНрдпреЛрдВрдХрд┐ рдпрд╣ рд╕рд╕реНрддрд╛, рд╣рдВрд╕рдореБрдЦ рд╣реИ, рд╕рдм рдХреБрдЫ рдлрд┐рдЯ рд╣реЛрдЧрд╛ рдФрд░ рдЖрдк рдмреИрдВрдХ рднреА рдХрд░ рд╕рдХрддреЗ рд╣реИрдВред 64KiB! 4 рдлрд░реНрдорд╡реЗрдпрд░ рдЕрдкрд▓реЛрдб рдХрд┐рдП рдЬрд╛ рд╕рдХрддреЗ рд╣реИрдВред рдЦреИрд░, рдореЗрд░реЗ рдкрд╛рд╕ рдЗрди рдорд╛рдЗрдХреНрд░реЛрдХреНрд░рд┐рд╕реНрдЪреБрдПрдЯреНрд╕ рдХрд╛ рд▓рдЧрднрдЧ рдПрдХ рдорд┐рд▓рд┐рдпрди рд╣реИред рдореИрдВрдиреЗ рдлреИрд╕рд▓рд╛ рдХрд┐рдпрд╛ рдХрд┐ рдореИрдВ рдХреЗрд╡рд▓ рдКрдкрд░реА рдЖрдзреЗ рд╣рд┐рд╕реНрд╕реЗ рдХреЛ рд╕реАрд╡реЗ рдХрд░реВрдВрдЧрд╛, рдХреНрдпреЛрдВрдХрд┐ рд╣рд░реНрд▓реЗрдХреНрд╡рд┐рди рдкрд░ рдП 15 рдкреИрд░ + 5 рд╡реА рд╕реЗ рдмрдВрдзрд╛ рд╣реБрдЖ рд╣реИ, рдФрд░ рдП 14 рдПрдХ рдЬрдореНрдкрд░ рдХреЗ рд╕рд╛рде рд╕рдорд╛рдпреЛрдЬреНрдп рд╣реИред рдЗрд╕ рдкреНрд░рдХрд╛рд░, рдореИрдВ рд╣рд╛рд░реНрд▓реЗрдХреНрд╡рд┐рди рдкрд░ рдлрд░реНрдорд╡реЗрдпрд░ рдХрд╛ рдкрд░реАрдХреНрд╖рдг рдХрд░ рд╕рдХрддрд╛ рд╣реВрдВ рддрд╛рдХрд┐ рд▓рдВрдмреЗ рд╕рдордп рддрдХ рдЧрдбрд╝рдмрдбрд╝ рди рд╣реЛред Smorim рдбреЗрдЯрд╛рдкрддреНрд░рдХ ред рд╣рдордиреЗ рдЪрд┐рдк рдХреЛ рдмреНрд░реЗрдбрдмреЛрд░реНрдб рдкрд░ рд░рдЦрд╛ред рдлрд┐рд░, рдореИрдВрдиреЗ рдЗрд╕реЗ рджрд╛рд╣рд┐рдиреЗ рдХреЛрдиреЗ рдореЗрдВ рдмрд╛рдИрдВ рдУрд░ рдкрддрд╛ рдмрд╕ рд▓рдЧрд╛рдиреЗ рдХреЗ рд▓рд┐рдП рдбрд╛рд▓ рджрд┐рдпрд╛ред рд╣рдо рдкреИрд░ A15 рдХреЛ рд╢рдХреНрддрд┐ рдХреЗ рд▓рд┐рдП рдЦреАрдВрдЪрддреЗ рд╣реИрдВ, A14 рдЬрдореАрди рдкрд░ рд╡рд╛рдпрд░рд┐рдВрдЧ рдХрд░рддреЗ рд╣реИрдВред рд╡рд╛рдпрд░рд┐рдВрдЧ - рдпрд╣ рдЗрддрдирд╛ рд╣реИ рдХрд┐ рдЖрдк рдореЗрдореЛрд░реА рдмреИрдВрдХреЛрдВ рдХреЛ рдмрджрд▓ рд╕рдХрддреЗ рд╣реИрдВред рдЪреВрдВрдХрд┐ A15 рд╣рдореЗрд╢рд╛ рдЙрдЪреНрдЪ рд╕реНрддрд░ рдкрд░ рд╣реЛрдЧрд╛, рдХреЗрд╡рд▓ рд╢реАрд░реНрд╖ 32 KiB рдлреНрд▓реИрд╢ рдбреНрд░рд╛рдЗрд╡ рд╣рдорд╛рд░реЗ рд▓рд┐рдП рдЙрдкрд▓рдмреНрдз рд╣реЛрдВрдЧреЗред рдЗрдирдореЗрдВ рд╕реЗ, A14 рд▓рд╛рдЗрди рдКрдкрд░реА (+ 5V) рдпрд╛ рдирд┐рдЪрд▓реЗ (рдЬрдореАрди) 16 KiB рдХрд╛ рдЪрдпрди рдХрд░реЗрдЧреАред рдЙрдирдореЗрдВ, рдореИрдВрдиреЗ рдкреНрд░реЛрдЧреНрд░рд╛рдорд░ рдХреЗ рд╕рд╛рде рдкрд░реАрдХреНрд╖рдг рдЫрд╡рд┐ рдХреЛ рднрд░ рджрд┐рдпрд╛рдФрд░ 48K рдмреБрдирд┐рдпрд╛рджреА рдлрд░реНрдорд╡реЗрдпрд░ ред

рд╢реЗрд╖ 14 рдкрддрд╛ рд▓рд╛рдЗрдиреЗрдВ (A0 - A13) рдмрд╛рдИрдВ рдУрд░ рдкрддрд╛ рдмрд╕ рд╕реЗ рдЬреБрдбрд╝реА рд╣реИрдВред рд╣рдо рдмреНрд░реЗрдбрдмреЛрд░реНрдб рдореЙрдбрд▓ рд╕реЗ рдкрд╛рд╡рд░ рдмрд╕реЛрдВ рдХреЗ рд░реВрдк рдореЗрдВ рдЕрдкрдиреЗ рдХрд╛рдордЪрд▓рд╛рдК рдмрд╕ рдХреЗ рд▓рд┐рдП рдбреЗрдЯрд╛ рдмрд╕ (Q0 - Q7) рдХрдиреЗрдХреНрдЯ рдХрд░рддреЗ рд╣реИрдВред рднреЛрдЬрди рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдордд рднреВрд▓рдирд╛!

рдЕрдм рдирд┐рдпрдВрддреНрд░рдг рд╕рдВрдХреЗрдд рджреЗрддрд╛ рд╣реИред OE рдПрдХ рдЖрдЙрдЯрдкреБрдЯ рд╕рдХреНрд╖рдо рд╣реИред рдЬрдм рдкреНрд░реЛрд╕реЗрд╕рд░ рдкрдврд╝рддрд╛ рд╣реИ рддреЛ рд╣рдореЗрдВ рдбреЗрдЯрд╛ рдмрд╕ рдореЗрдВ рдбреЗрдЯрд╛ рднреЗрдЬрдиреЗ рдХреЗ рд▓рд┐рдП ROM рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реЛрддреА рд╣реИред рдЗрд╕рд▓рд┐рдП рд╣рдо рд╕реАрдзреЗ рдЖрд░рдбреА рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЗ рдЖрдЙрдЯрдкреБрдЯ рд╕реЗ рдХрдиреЗрдХреНрдЯ рд╣реЛрддреЗ рд╣реИрдВред рдЖрд╕рд╛рдиреА рд╕реЗ, рджреЛрдиреЛрдВ рдкрд┐рди, рдкреНрд░реЛрд╕реЗрд╕рд░ рдкрд░ ROM рдФрд░ RD рджреЛрдиреЛрдВ OE, рдирд┐рдореНрди рд╕реНрдерд┐рддрд┐ рдореЗрдВ рд╕рдХреНрд░рд┐рдп рд╣реИрдВред рдпрд╣ рдорд╣рддреНрд╡рдкреВрд░реНрдг рд╣реИ; рдЖрдкрдХреЛ рдХреБрдЫ рднреА рдЙрд▓реНрдЯрд╛ рдХрд░рдиреЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рдирд╣реАрдВ рд╣реИред рдЗрд╕рдХреЗ рдЕрд▓рд╛рд╡рд╛, ROM рдореЗрдВ рдПрдХ CS рдЗрдирдкреБрдЯ рд╣реИ, рдЬреЛ рдирд┐рдореНрди рдЕрд╡рд╕реНрдерд╛ рдореЗрдВ рднреА рд╕рдХреНрд░рд┐рдп рд╣реИред рдпрджрд┐ рдпрд╣ рдЗрдирдкреБрдЯ рд╕рдХреНрд░рд┐рдп рдирд╣реАрдВ рд╣реИ, рддреЛ ROM рдЕрдиреНрдп рд╕рднреА рд╕рдВрдХреЗрддреЛрдВ рдХреЛ рдЕрдирджреЗрдЦрд╛ рдХрд░ рджреЗрдЧрд╛ рдФрд░ рдбреЗрдЯрд╛ рдмрд╕ рдореЗрдВ рдХреБрдЫ рднреА рдЖрдЙрдЯрдкреБрдЯ рдирд╣реАрдВ рдХрд░реЗрдЧрд╛ред рд╣рдо рдЗрд╕ рдЗрдирдкреБрдЯ рдХреЛ 74HC138 рдЪрд┐рдк рдХреЗ Y0 рдкрд┐рди (15 рдкрд┐рди) рд╕реЗ рдЬреЛрдбрд╝реЗрдВрдЧреЗ, рдЬреЛ рдирд┐рдореНрди рдЕрд╡рд╕реНрдерд╛ рдореЗрдВ рднреА рд╕рдХреНрд░рд┐рдп рд╣реИред рд╣рд╛рд░реНрд▓реЗрдХреНрд╡рд┐рди рд╕рд░реНрдХрд┐рдЯ рдореЗрдВ, рдпрд╣ рд╕рдВрдХреЗрдд, рдХрд┐рд╕реА рдХрд╛рд░рдг рд╕реЗ, рдПрдХ рдЕрд╡рд░реЛрдзрдХ рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ рдЬреБрдбрд╝рд╛ рд╣реБрдЖ рд╣реИред рд╣рдо рднреА рдРрд╕рд╛ рд╣реА рдХрд░реЗрдВрдЧреЗред рдХреНрдпреЛрдВ, рдореБрдЭреЗ рдирд╣реАрдВ рдкрддрд╛ред рд╢рд╛рдпрдж рд╕реНрдорд╛рд░реНрдЯ рд▓реЛрдЧ рдореБрдЭреЗ рдЯрд┐рдкреНрдкрдгрд┐рдпреЛрдВ рдореЗрдВ рдмрддрд╛рддреЗ рд╣реИрдВ ... рдЙрдиреНрд╣реЛрдВрдиреЗ рдореБрдЭреЗ

рдмрддрд╛рдпрд╛ред рдзрдиреНрдпрд╡рд╛рдж,sterr:
. , ┬л┬╗ . .




рд╕рдмред

рдЕрдм рд░рд╛рдоред

рдЗрд╕рдХреЗ рд╕рд╛рде рдпрд╣ рдЕрдзрд┐рдХ рдХрдард┐рди рд╣реИ, рдХреНрдпреЛрдВрдХрд┐ рди рдХреЗрд╡рд▓ рдкреНрд░реЛрд╕реЗрд╕рд░, рдмрд▓реНрдХрд┐ ULA, рдпрд╛, рд╣рдорд╛рд░реЗ рдорд╛рдорд▓реЗ рдореЗрдВ, Arduino, RAM рдХреЗ рд╕рд╛рде рдХрд╛рдо рдХрд░рддреЗ рд╣реИрдВ (рд╣рдорд╛рд░реЗ 16 KiB рдХреЗ рд╕рд╛рде)ред рдЪреВрдВрдХрд┐ рд╕реНрдХреНрд░реАрди рдкрд░ рдкреНрд░рджрд░реНрд╢рд┐рдд рд╣реЛрдиреЗ рд╡рд╛рд▓реА рдХрд┐рд╕реА рдЪреАрдЬрд╝ рдХреЛ рдкрдврд╝рдирд╛ рдЖрд╡рд╢реНрдпрдХ рд╣реИред рдЗрд╕рд▓рд┐рдП, рд╣рдореЗрдВ рдирд┐рдпрдВрддреНрд░рдг рд╕рдВрдХреЗрддреЛрдВ рдФрд░ рдкреНрд░реЛрд╕реЗрд╕рд░ рд╕реЗ рд░реИрдо рдПрдбреНрд░реЗрд╕ рдмрд╕ рдХреЛ рдбрд┐рд╕реНрдХрдиреЗрдХреНрдЯ рдХрд░рдиреЗ рдореЗрдВ рд╕рдХреНрд╖рдо рд╣реЛрдирд╛ рдЪрд╛рд╣рд┐рдПред рд╣рдо рдбреЗрдЯрд╛ рдмрд╕ рдХреЛ рдбрд┐рд╕реНрдХрдиреЗрдХреНрдЯ рдирд╣реАрдВ рдХрд░реЗрдВрдЧреЗ, рд╣рдо рдореВрд▓ рд╕реНрдкреЗрдХреНрдЯреНрд░рдо (рдФрд░ рд╣рд░реНрд▓рдХреНрд╡рд┐рди рдореЗрдВ) рдХреЗ рд░реВрдк рдореЗрдВ рдХрд╛рд░реНрдп рдХрд░реЗрдВрдЧреЗ: рд╣рдо рдмрд╕ рдХреЛ рдкреНрд░рддрд┐рд░реЛрдзреЛрдВ (470-500 рдУрдо) рдХреЗ рд╕рд╛рде рд╡рд┐рднрд╛рдЬрд┐рдд рдХрд░реЗрдВрдЧреЗред рдПрдХ рддрд░рдл, рдкреНрд░рддрд┐рд░реЛрдзрдХ рдкреНрд░реЛрд╕реЗрд╕рд░ рдФрд░ рджреВрд╕рд░реА рддрд░рдл рд░реИрдо рдФрд░ рдЖрд░рдбреАрдпреВ рд╣реЛрдВрдЧреЗред рдЗрд╕ рдкреНрд░рдХрд╛рд░, рдбреЗрдЯрд╛ рдмрд╕ рдкрд░ рд╕рдВрдШрд░реНрд╖ рдХреА рд╕реНрдерд┐рддрд┐ рдореЗрдВ, рдпрд╣ 2 рдЕрд▓рдЧ-рдЕрд▓рдЧ рдмрд╕реЛрдВ рдХреЗ рд░реВрдк рдореЗрдВ рдХрд╛рдо рдХрд░реЗрдЧрд╛ред рд▓реЗрдХрд┐рди рдмрд╛рдХреА рдХреЗ рд▓рд┐рдП рд╣рдо 74HC245 рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддреЗ рд╣реИрдВ , рдЬреИрд╕рд╛ рдХрд┐ рд╣рд░реНрд▓реЗрдХреНрд╡рд┐рди рдореЗрдВ (U43, рдЪрд┐рддреНрд░ рдореЗрдВ U44), рд╣рд╛рд▓рд╛рдВрдХрд┐ рд╡рд░реНрддрдорд╛рди рд╡рд┐рд╢рд┐рд╖реНрдЯрддрд╛ рдореЗрдВрдкреНрд░рддрд┐рд░реЛрдзрдХ рднреА рдереЗ (рдПрдХ рдУрд░ IC1 рдХреЗ рдмреАрдЪ, рдпрд╣ ULA рд╣реИ, рдФрд░ IC3, IC4 рджреВрд╕рд░реА рддрд░рдл)ред

74HC245 рдПрдХ 8-рдмрд┐рдЯ рдмрд╕ рдмрдлрд░ рд╣реИред рд▓реЗрдХрд┐рди рд╣рдорд╛рд░реЗ рдкрд╛рд╕ 2 рдирд┐рдпрдВрддреНрд░рдг рд╕рдВрдХреЗрдд рд╣реИрдВ (рдЖрд░рдбреА - рдореЗрдореЛрд░реА рдХреЛ рдкрдврд╝рдиреЗ рдХреЗ рдорд╛рдорд▓реЗ рдореЗрдВ рдФрд░ рд░реИрдо рдХреЛ рд╕рдХреНрд░рд┐рдп рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рд╕реАрдИред рд╣рдо рдмрд╛рдж рдореЗрдВ рдореЗрдореЛрд░реА рд▓рд┐рдЦрдиреЗ рдХреЗ рдорд╛рдорд▓реЗ рдореЗрдВ рдбрдмреНрд▓реНрдпреВрдЖрд░ рд╕реЗ рдирд┐рдкрдЯреЗрдВрдЧреЗ) рдФрд░ рдкрддреЗ рдХреЗ 14 рдмрд┐рдЯреНрд╕: рдпрд╛рдж рд░рдЦреЗрдВ, рдКрдкрд░ рд╣рдо рдкрд╣рд▓реЗ рд╕реЗ рд╣реА 74HC138 рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдХреЗ рдореЗрдореЛрд░реА рдХреЗ рд▓рд┐рдП рдПрдХ рд╕рдВрдХреЗрдд рдЙрддреНрдкрдиреНрди рдХрд░рддреЗ рд╣реИрдВред рдЗрд╕ рдШрдЯрдирд╛ рдореЗрдВ рдХрд┐ рдкреНрд░реЛрд╕реЗрд╕рд░ рдиреЗ A15 рдХреЛ A15 рдирд┐рд╖реНрдХреНрд░рд┐рдп рдХреЗ рд╕рд╛рде рд╕рдХреНрд░рд┐рдп рдХрд┐рдпрд╛ рд╣реИ, рдЗрд╕рд▓рд┐рдП рд╣рдореЗрдВ рдкрддреЗ рдХрд╛ рдХреЛрдИ рдЕрддрд┐рд░рд┐рдХреНрдд рдбрд┐рдХреЛрдбрд┐рдВрдЧ рдХрд░рдиреЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рдирд╣реАрдВ рд╣реИ, ROM рдХреЗ рдмрд╛рдж рдкрд╣рд▓реЗ 16 KiB рддрдХ рдкрд╣реБрдБрдЪрдиреЗ рдкрд░ рд╣реА рдореЗрдореЛрд░реА рдХрд╛рдо рдХрд░реЗрдЧреАред рдареАрдХ рд╣реИ, рдирд┐рд╢реНрдЪрд┐рдд рд░реВрдк рд╕реЗ, 16 KiB рдХреЛ рд╕рдВрдмреЛрдзрд┐рдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдЖрдкрдХреЛ рд╕рд┐рд░реНрдл 14 рдкрддрд╛ рд▓рд╛рдЗрдиреЛрдВ (A0-A13) рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реИред рдХреБрд▓ рдореЗрдВ, 16 рд╕рд┐рдЧреНрдирд▓ рдкреНрд░рд╛рдкреНрдд рд╣реЛрддреЗ рд╣реИрдВ, рдЗрд╕рд▓рд┐рдП рд╣рдореЗрдВ 2 74HC245 рдорд╛рдЗрдХреНрд░реЛрдХреНрд░реЗрд╕реАрдЯреНрд╕ рдЪрд╛рд╣рд┐рдПред рд╣рдо рдЙрдиреНрд╣реЗрдВ рдкрддрд╛ рдмрд╕ рдХреЗ рд╕реНрдерд╛рди рдкрд░ рдмрд╛рдИрдВ рдУрд░ рдмреНрд░реЗрдбрдмреЛрд░реНрдб рд╕реЗ рдЬреЛрдбрд╝рддреЗ рд╣реИрдВред

74HC245 рдкрд░ рдбреЗрдЯрд╛рд╢реАрдЯ рд╕реЗ рдпрд╣ рд╕реНрдкрд╖реНрдЯ рд╣реИ рдХрд┐, рд╕рд╛рдорд╛рдиреНрдп рддреМрд░ рдкрд░, рдпрд╣ рдорд╛рдпрдиреЗ рдирд╣реАрдВ рд░рдЦрддрд╛ рд╣реИ рдХрд┐ рдорд╛рдЗрдХреНрд░реЛрдХреНрд░рд╛рдЗрдХреНрдпреВрдЯреНрд╕ рдХреЛ рдХрд┐рд╕ рддрд░рдл рд╕реЗ рдЬреЛрдбрд╝рд╛ рдЬрд╛рдП, рд▓реЗрдХрд┐рди рдЬрдм рд╕реЗ рдореИрдВрдиреЗ рдиреАрдЪреЗ рд╕реЗ рд▓реЗрдЖрдЙрдЯ рдмрдирд╛рдирд╛ рд╢реБрд░реВ рдХрд┐рдпрд╛ рд╣реИ, рдФрд░ рдЕрдиреНрдп рд╕рднреА рдорд╛рдЗрдХреНрд░реЛрдЪрд┐рдкреНрд╕ рдкрд╣рд▓реЗ рдкрд┐рди рд╕реЗ рдмрд╛рдИрдВ рдУрд░ рд╕реНрдерд╛рдкрд┐рдд рд╣реИрдВ, рдкрддрд╛ рдмрд╕ рд╕рд╛рдЗрдб рдП (рдкрд┐рди 2) рд╕реЗ рдЬреБрдбрд╝ рдЬрд╛рдПрдЧрд╛ -9 рдЪрд┐рдк, рдбреЗрдЯрд╢реАрдЯ рдореЗрдВ A0-A7 рдХреЗ рд░реВрдк рдореЗрдВ рдирд┐рд░реНрджрд┐рд╖реНрдЯ рд╣реИрдВ)ред рдЯреНрд░рд╛рдВрд╕рдлрд░ рджрд┐рд╢рд╛ рд╣рдореЗрд╢рд╛ рдкреНрд░реЛрд╕реЗрд╕рд░ рд╕реЗ рд░реИрдо рддрдХ рд╣реЛрддреА рд╣реИ, рдХреНрдпреЛрдВрдХрд┐ рд░реИрдо рдХрднреА рднреА рдкрддрд╛ рд╕реЗрдЯ рдирд╣реАрдВ рдХрд░рддрд╛ рд╣реИ, рд▓реЗрдХрд┐рди рдХреЗрд╡рд▓ рдЗрд╕реЗ рдкреНрд░рд╛рдкреНрдд рдХрд░рддрд╛ рд╣реИред 74HC245 рдореЗрдВ, рдкрд┐рди 1 (DIR) рд╕рдВрдЪрд░рдг рдХреА рджрд┐рд╢рд╛ рдХреЗ рд▓рд┐рдП рдЬрд┐рдореНрдореЗрджрд╛рд░ рд╣реИред рдбреЗрдЯрд╢реАрдЯ рдХреЗ рдЕрдиреБрд╕рд╛рд░рддрд╛рдХрд┐ рдмреА рдХреА рддрд░рдл рдП рд╕рд╛рдЗрдб рдкрд░ рдЗрдирдкреБрдЯ рдХреЗ рдмрд░рд╛рдмрд░ рдЖрдЙрдЯрдкреБрдЯ рд╣реЛ, рдбреАрдЖрдИрдЖрд░ рдХреЛ рд╣рд╛рдИ рдкрд░ рд╕реЗрдЯ рдХрд┐рдпрд╛ рдЬрд╛рдирд╛ рдЪрд╛рд╣рд┐рдПред рддреЛ рджреЛрдиреЛрдВ рд╕рд░реНрдХрд┐рдЯ рдХреЗ 1 рдкрд┐рди рдХреЛ + 5 рд╡реА рд╕реЗ рдХрдиреЗрдХреНрдЯ рдХрд░реЗрдВред OE (20 рд╡рд╛рдВ рдкрд┐рди, рдПрдХ рдирд┐рдореНрди рд╕реНрддрд░ рджреНрд╡рд╛рд░рд╛ рд╕рдХреНрд░рд┐рдп) рдЬрдореАрди рд╕реЗ рддрд╛рд░реЛрдВ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдХреЗ рдЬреБрдбрд╝рд╛ рд╣реБрдЖ рд╣реИ рддрд╛рдХрд┐ рдЗрд╕реЗ рдЬрд▓реНрджреА рд╕реЗ + 5V рдкрд░ рд╕реНрд╡рд┐рдЪ рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХреЗ рдФрд░ рдкреНрд░реЛрд╕реЗрд╕рд░ рд╕реЗ рдбрд┐рд╕реНрдХрдиреЗрдХреНрдЯ рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХреЗред рдФрд░ рднреА рд╕рд░рд▓ред рджреЛрдиреЛрдВ рдЪрд┐рдкреНрд╕ рдХреЗ рд▓рд┐рдП рдкрд╛рд╡рд░ рдХрдиреЗрдХреНрдЯ рдХрд░реЗрдВред рд╕рд╣реА microcircuit (8 рд╡реЗрдВ рдФрд░ 9 рд╡реЗрдВ рдкрд┐рди, рдЗрдирдкреБрдЯ A6 рдФрд░ A7) рдХреЗ рд╕рдмрд╕реЗ рджрд╛рд╣рд┐рдиреЗ рдкрд┐рди рдирд┐рдпрдВрддреНрд░рдг рд╕рдВрдХреЗрдд рд╣реЛрдВрдЧреЗред рдореИрдВрдиреЗ рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЗ рдЖрд░ 7 рдЯрд░реНрдорд┐рдирд▓ рдХреЛ рдП 7 рд╕реЗ рдЬреЛрдбрд╝рд╛, рдФрд░ рдП 6 рдХреЛ 74 рдПрдЪрд╕реА 1313 рдЪрд┐рдк рдХреЗ рд╡рд╛рдИ 1 рдкрд┐рди рд╕реЗ рдЬреЛрдбрд╝рд╛, рдХреНрдпреЛрдВрдХрд┐ рдирд┐рдореНрди рд╕реНрддрд░ рдХреЗрд╡рд▓ рддрднреА рд╣реЛрдЧрд╛ рдЬрдм рдкреНрд░реЛрд╕реЗрд╕рд░ рд╣рдорд╛рд░реЗ рд░реИрдо рддрдХ рдкрд╣реБрдВрдЪрддрд╛ рд╣реИред рд╢реЗрд╖ рджреЛрдиреЛрдВ рдорд╛рдЗрдХреНрд░реЛрдХреНрд░рд┐рд╕реАрдЯ рдХреЗ рдП рдХреА рдУрд░ рд╕реЗ рд╢реЗрд╖ рдирд┐рд╖реНрдХрд░реНрд╖ (рдкреИрд░реЛрдВ рдХреЛ рдмрд╛рдПрдВ рдХреЗ рд▓рд┐рдП 2-9 рдФрд░ рджрд╛рдПрдВ рдХреЗ рд▓рд┐рдП рдкреИрд░ 2-7) рдореБрдЭреЗ рдкрддрд╛ рдмрд╕ рд╕реЗ рдЬреБрдбрд╝рд╛ рд╣реБрдЖ рд╣реИ, рдП 13-рдП 0 рдХреЗ рдЯрд░реНрдорд┐рдирд▓реЛрдВред рд╣рдореЗрдВ рдкрддреЗ рдХреЗ рдКрдкрд░реА 2 рдмрд┐рдЯреНрд╕ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рдирд╣реАрдВ рд╣реИ, рдХреНрдпреЛрдВрдХрд┐ рд╡реЗ рдкрд╣рд▓реЗ рд╕реЗ рд╣реА 74HC138 рд╕реЗ рд╕рд┐рдЧреНрдирд▓ рдореЗрдВ рдбрд┐рдХреЛрдб рдХрд┐рдП рдЧрдП рд╣реИрдВредрдЕрдм рд░реИрдо рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рд╣реАред рд╕реНрд╡рд╛рднрд╛рд╡рд┐рдХ рд░реВрдк рд╕реЗ, рдореИрдВрдиреЗ рд╡рд╣реА рдХрд┐рдпрд╛ рдЬреЛ рдореЗрд░реЗ рдкрд╛рд╕ рдкрд╣рд▓реЗ рд╕реЗ рдерд╛: рдкреБрд░рд╛рдиреА рдорджрд░рдмреЛрд░реНрдб рд╕реЗ рдПрдХ рдХреИрд╢ рдЪрд┐рдкред рдореИрдВ рдорд┐рд▓рд╛IS61C256 20 ns рдкрд░, рд▓реЗрдХрд┐рди рдХреЛрдИ рднреА рдХрд░реЗрдЧрд╛ред рд╡рд┐рд╢рд┐рд╖реНрдЯрддрд╛ рдиреЗ 3.5 рдореЗрдЧрд╛рд╣рд░реНрдЯреНрдЬ рдХреА рдЖрд╡реГрддреНрддрд┐ рдкрд░ рдХрд╛рдо рдХрд┐рдпрд╛, рд▓реЗрдХрд┐рди рдЕрдм рд╣рдо рдЖрдо рддреМрд░ рдкрд░ рдЕрд░реНрдбреБрдВрдХреА рдХрд╛ рдЗрд▓рд╛рдЬ рдХрд░реЗрдВрдЧреЗред рдЕрдЧрд░ 100 kHz рдмрд╛рд╣рд░ рдЖрддрд╛ рд╣реИ, рддреЛ рдЦреБрд╢реА рд╣реЛрдЧреА! рддреЛ, рд╣рдо рдХрдиреЗрдХреНрдЯ рдХрд░рддреЗ рд╣реИрдВред рдмреЗрд╢рдХ, рднреЛрдЬрди рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдордд рднреВрд▓рдирд╛ред рдирд┐рд╖реНрдХрд░реНрд╖ I / O0 - I / O7 рдкреНрд░рддрд┐рд░реЛрдзреЛрдВ рдХреЗ рдмрд╛рдж рдбреЗрдЯрд╛ рдмрд╕ рдХреЗ рдмреНрд░реЗрдбрдмреЛрд░реНрдб рд╕реЗ рдЬреБрдбрд╝реЗ рд╣реИрдВред рдореИрдВ рднрд╛рдЧреНрдпрд╢рд╛рд▓реА рдерд╛ (рд╡рд╛рд╕реНрддрд╡ рдореЗрдВ, рдирд╣реАрдВ), рдореЗрд░реЗ рдЪреАрдиреА рдореЙрдХрдЕрдк рдкрд░, рдкрд╛рд╡рд░ рдмрд╕реЛрдВ рдХреЛ рдмрд┐рд▓реНрдХреБрд▓ рдмреАрдЪ рдореЗрдВ рд╡рд┐рднрд╛рдЬрд┐рдд рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИред рдореИрдВрдиреЗ рдЗрд╕ рд╕реБрд╡рд┐рдзрд╛ рдХрд╛ рдЙрдкрдпреЛрдЧ рдкреНрд░рддрд┐рд░реЛрдзреЛрдВ рдХреЗ рд╕рд╛рде рдмрд╕ рдХреЛ рдЕрд▓рдЧ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдХрд┐рдпрд╛ред рдпрджрд┐ рдЖрдкрдХреЗ рд▓реЗрдЖрдЙрдЯ рдЧрд▓рдд рд╣реИрдВ, рддреЛ рдЖрдкрдХреЛ рд╡рд┐рдХреГрдд рд╣реЛрдирд╛ рд╣реЛрдЧрд╛рдПрдХ рджреВрд╕рд░рд╛ рдбреЗрдЯрд╛ рдмрд╕ рдмрдирд╛рдПрдВ, рдФрд░ рдЗрд╕реЗ рдкреНрд░рддрд┐рд░реЛрдзреЛрдВ рдХреЗ рд╕рд╛рде рдкрд╣рд▓реЗ рд╕реЗ рдХрдиреЗрдХреНрдЯ рдХрд░реЗрдВред A0-A13 рдХреЗ рдирд┐рд╖реНрдХрд░реНрд╖ 74HC245 рдЪрд┐рдкреНрд╕ рдХреЗ рд╕рдВрдмрдВрдзрд┐рдд рдмреА-рдирд┐рд╖реНрдХрд░реНрд╖ рдкрд░ рдлреЗрдВрдХреЗ рдЧрдП рд╣реИрдВ, рдпрд╣ рднреВрд▓ рдирд╣реАрдВ рдХрд┐ рд╕рдмрд╕реЗ рд╕рд╣реА рдбреЗрдЯрд╛ рдбреЗрдЯрд╛ рдмрд╕ рд╕реЗ рдирд╣реАрдВ, рдмрд▓реНрдХрд┐ рдирд┐рдпрдВрддреНрд░рдг рд╕рдВрдХреЗрддреЛрдВ рд╕реЗ рдЬреБрдбрд╝реЗ рд╣реИрдВред A14 - рдкрд╕рдВрдж рд╕реЗ, рдпрд╛ рддреЛ рдЬрдореАрди рдкрд░, рдпрд╛ + 5 рд╡реА рддрдХред рдПрдХ 32 KiB рдЪрд┐рдк, рдЗрд╕рд▓рд┐рдП рдпрд╣ рдирд┐рд╖реНрдХрд░реНрд╖ рдирд┐рд░реНрдзрд╛рд░рд┐рдд рдХрд░реЗрдЧрд╛ рдХрд┐ рд╣рдо рдХрд┐рд╕ рдЖрдзреЗ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░реЗрдВрдЧреЗред рдпрджрд┐ рдЖрдк 16 KiB SRAM рдкрд╛рддреЗ рд╣реИрдВ, рддреЛ рдЗрд╕рдореЗрдВ A14 рд▓рд╛рдЗрди рдирд╣реАрдВ рд╣реЛрдЧреАред рдЖрдЙрдЯрдкреБрдЯ рд╣рдо рд╣реИрдВ (рд╕рдХреНрд╖рдо рд▓рд┐рдЦреЗрдВ), CE (рдЪрд┐рдк рд╕рдХреНрд╖рдо) рдФрд░ OE (рдЖрдЙрдЯрдкреБрдЯ рд╕рдХреНрд╖рдо)ред рд╕рднреА рдХрдо рд╕рдХреНрд░рд┐рдп рд╣реИрдВред OE рдХреЛ рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЗ RD рд╕реЗ рдЬреЛрдбрд╝рд╛ рдЬрд╛рдирд╛ рдЪрд╛рд╣рд┐рдП, рд▓реЗрдХрд┐рди, рдирд┐рд╢реНрдЪрд┐рдд рд░реВрдк рд╕реЗ, рд╕реАрдзреЗ рдирд╣реАрдВ, рдмрд▓реНрдХрд┐ рджрд╛рд╣рд┐рдиреЗ 74HC245 рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ, рдЬрд╣рд╛рдБ RD рдореЗрд░реЗ A7 рдлреБрдЯ рдкрд░ рдЖрддрд╛ рд╣реИ, рдФрд░ рддрджрдиреБрд╕рд╛рд░ B7 рдлреБрдЯ (11 рд╡реЗрдВ рдкрд┐рди) рд╕реЗ рдмрд╛рд╣рд░ рдЖрддрд╛ рд╣реИред рд╡рд╣рд╛рдБ рдФрд░ рдХрдиреЗрдХреНрдЯред рд╕реАрдИ рдХреЛ 74HC138 рд╕реЗ Y1 рд╕реЗ рдЬреБрдбрд╝рд╛ рд╣реЛрдирд╛ рдЪрд╛рд╣рд┐рдП, рдЬреЛ рдкрддреЗ рдХреЛ рдбреАрдХреЛрдб рдХрд░рддрд╛ рд╣реИред рдЙрд╕рдХрд╛ рд╕рдВрдХреЗрдд рдХреНрд░рдорд╢рдГ рд╕рд╣реА рдЪрд┐рдк 74HC245 рдХреЗ A6 рдкрд░ рдореБрдЭреЗ рдЖрддрд╛ рд╣реИ,рдкреИрд░ B6 (12 рдкрд┐рди) рд╕реЗ рдмрд╛рд╣рд░ рдЖрддрд╛ рд╣реИред рд╣рдо рд╕реАрдзреЗ рдбрдмреНрд▓реНрдпреВрдЖрд░ рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЗ рдЖрдЙрдЯрдкреБрдЯ рд╕реЗ рдЬреБрдбрд╝реЗ рд╣реИрдВред рдореИрдВрдиреЗ OE рд╕рд┐рдЧреНрдирд▓ рд╕реЗ рдПрдХ рдЬрдореНрдкрд░ рд╡рд╛рдпрд░ рднреА рд▓рдЧрд╛рдпрд╛ рдФрд░ рдЗрд╕реЗ рд╕рд┐рд░реНрдл рдмреНрд░реЗрдбрдмреЛрд░реНрдб рдХреЗ рдЕрдкреНрд░рдпреБрдХреНрдд рд╣рд┐рд╕реНрд╕реЗ рдореЗрдВ рдЪрд┐рдкрдХрд╛ рджрд┐рдпрд╛ред рдЗрд╕ рддрд╛рд░ рдХреЛ рдкрд╛рд╡рд░ рдЧреНрд░рд╛рдЙрдВрдб рд╕реЗ рдЬреЛрдбрд╝рдХрд░, рдЬрдм рдореИрдВ рдЗрд╕реЗ Arduinka рд╕реЗ рдкрдврд╝рддрд╛ рд╣реВрдВ рддреЛ рдореИрдВ рд░реИрдо рдХреЛ рд╕рдХреНрд░рд┐рдп рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдордЬрдмреВрд░ рдХрд░ рд╕рдХрддрд╛ рд╣реВрдВред рдлрд┐рд░ рднреА, рдореИрдВрдиреЗ 10 kOhm рдХреЗ рдкреНрд░рддрд┐рд░реЛрдзреЛрдВ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдХреЗ RAM рдХреЗ рд╕рднреА рдирд┐рдпрдВрддреНрд░рдг рд╕рдВрдХреЗрддреЛрдВ рдХреЛ + 5V рддрдХ рдЦреАрдВрдЪ рд▓рд┐рдпрд╛ред рд╢рд╛рдпрдж рдЬрд╝рд░реБрд░рдд рдкрдбрд╝реЗред рдпрд╣ рдЗрд╕ рддрд░рд╣ рдирд┐рдХрд▓рд╛:



рд╕рд╛рдорд╛рдиреНрдп рддреМрд░ рдкрд░, рдпрд╣рд╛рдВ, рдФрд░ рдпрджрд┐ рдмрд┐рд▓реНрдХреБрд▓, рдмрд╣реБрдд рд╢реБрд░реБрдЖрдд рдореЗрдВ, рдЯрд╛рдпрд░реЛрдВ рдкрд░ рд╕рдВрдХреЗрддреЛрдВ рдХреЗ рд╕рдордп рдкрд░ рдПрдХ рд╢реИрдХреНрд╖рд┐рдХ рдХрд╛рд░реНрдпрдХреНрд░рдо рд╣реЛрдирд╛ рдЪрд╛рд╣рд┐рдПред рдореИрдВ рдРрд╕рд╛ рдирд╣реАрдВ рдХрд░реВрдВрдЧрд╛, рдХреНрдпреЛрдВрдХрд┐ рдпрд╣ рдиреЗрдЯрд╡рд░реНрдХ рдкрд░ рдХрдИ рдмрд╛рд░ рдореБрдЭрд╕реЗ рдЬреНрдпрд╛рджрд╛ рдмреБрджреНрдзрд┐рдорд╛рди рд▓реЛрдЧреЛрдВ рджреНрд╡рд╛рд░рд╛ рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИред рд░реБрдЪрд┐ рд░рдЦрдиреЗ рд╡рд╛рд▓реЛрдВ рдХреЗ рд▓рд┐рдП, рдореИрдВ рдЗрд╕ рд╡реАрдбрд┐рдпреЛ рдХреА рд╕рд┐рдлрд╛рд░рд┐рд╢ рдХрд░ рд╕рдХрддрд╛ рд╣реВрдВ:


рд╕рд╛рдорд╛рдиреНрдп рддреМрд░ рдкрд░, рдпрджрд┐ рдЖрдк рдЗрд╕ рдЪреИрдирд▓ рдХреА рд╕рджрд╕реНрдпрддрд╛ рдирд╣реАрдВ рд▓реЗрддреЗ рд╣реИрдВ рдФрд░ рдПрдХ рд╢реМрдХрд┐рдпрд╛ рдХреЗ рд░реВрдк рдореЗрдВ рдЗрд▓реЗрдХреНрдЯреНрд░реЙрдирд┐рдХреНрд╕ рдореЗрдВ рд░реБрдЪрд┐ рд░рдЦрддреЗ рд╣реИрдВ, рдФрд░ рдПрдХ рдкреЗрд╢реЗрд╡рд░ рдХреЗ рд░реВрдк рдореЗрдВ рдирд╣реАрдВ, рддреЛ рдореИрдВ рдЖрдкрдХреЛ рдЗрд╕рдХреА рд╕рд▓рд╛рд╣ рджреЗрддрд╛ рд╣реВрдВред рдпрд╣ рдПрдХ рдмрд╣реБрдд рд╣реА рдЙрдЪреНрдЪ рдЧреБрдгрд╡рддреНрддрд╛ рдХреА рд╕рд╛рдордЧреНрд░реА рд╣реИред

рд╕рд╛рдорд╛рдиреНрдп рддреМрд░ рдкрд░, рдпрд╣ рд▓рдЧрднрдЧ рд╕рднреА рд╣реИред рдЕрдм рдЖрдкрдХреЛ рдмрд╕ рдпрд╣ рд╕рдордЭрдиреЗ рдХреА рдЬрд╝рд░реВрд░рдд рд╣реИ рдХрд┐ Arduino рдореЗрдВ RAM рд╕реЗ рдбреЗрдЯрд╛ рдХреИрд╕реЗ рдкрдврд╝реЗрдВред рд╢реБрд░реВ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП, рдЖрдЗрдП рдЧрдгрдирд╛ рдХрд░реЗрдВ рдХрд┐ рд╣рдореЗрдВ рдХрд┐рддрдиреЗ Arduinki рдирд┐рд╖реНрдХрд░реНрд╖ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реИред рд╣рдореЗрдВ рдПрдХ рдШрдбрд╝реА рд╕рдВрдХреЗрдд рджреЗрдиреЗ рдФрд░ RESET рдХреЛ рдирд┐рдпрдВрддреНрд░рд┐рдд рдХрд░рдиреЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реИ, рдпреЗ 2 рдкрд┐рди рд╣реИрдВред рдбреЗрдЯрд╛ рдмрд╕ рдХреЗ 8 рдмрд┐рдЯреНрд╕ - рдПрдХ рдФрд░ 8 рдкрд┐рдиред рдкрддрд╛ рдХреЗ 13 рдмрд┐рдЯреНрд╕, рдХреБрд▓ 23 рдкрд┐рдиред рдЗрд╕рдХреЗ рдЕрд▓рд╛рд╡рд╛, рд╣рдореЗрдВ Arduinka рдХреЗ рд╕рд╛рде рд╕рдВрд╡рд╛рдж рдХрд░рдиреЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реИ, рд╣рдо рдЗрд╕реЗ рдЙрд╕рдХреЗ рд╕реАрд░рд┐рдпрд▓ рдЗрдВрдЯрд░рдлрд╝реЗрд╕ рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ рдХрд░реЗрдВрдЧреЗ, рдпрд╣ рдПрдХ рдФрд░ 2 рдкрд┐рди рд╣реИред рджреБрд░реНрднрд╛рдЧреНрдп рд╕реЗ, рдореЗрд░реЗ рдбреАрдПрдирдП рдкрд░ рдХреЗрд╡рд▓ 20 рдирд┐рд╖реНрдХрд░реНрд╖ рд╣реИрдВред

рдЦреИрд░, рдЗрд╕рд╕реЗ рдХреЛрдИ рдлрд░реНрдХ рдирд╣реАрдВ рдкрдбрд╝рддрд╛ред рдореИрдВ рдПрдХ рднреА рд╡реНрдпрдХреНрддрд┐ рдХреЛ рдирд╣реАрдВ рдЬрд╛рдирддрд╛ рдЬрд┐рдирдХреЗ рдкрд╛рд╕ Arduino рд╣реИ рдФрд░ рдЬрд┐рдирдХреЗ рдкрд╛рд╕ 74HC595 рдирд╣реАрдВ рд╣реИред рдпрд╣ рдореБрдЭреЗ рд▓рдЧрддрд╛ рд╣реИ рдХрд┐ рд╡реЗ рдХреЗрд╡рд▓ рдХрд┐рдЯ рдореЗрдВ рдмреЗрдЪреЗ рдЬрд╛рддреЗ рд╣реИрдВред рдХрдо рд╕реЗ рдХрдо рдореЗрд░реЗ рдкрд╛рд╕ рдХреЗрд╡рд▓ 595x рд╕реЗ рдЕрдзрд┐рдХ 74HC00 рдЪрд┐рдкреНрд╕ рд╣реИрдВред рдЗрд╕рд▓рд┐рдП рд╣рдо рдЙрдирдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддреЗ рд╣реИрдВред рдЗрд╕рдХреЗ рдЕрд▓рд╛рд╡рд╛, рдореИрдВ рд▓реЗрдЦ рдореЗрдВ рдЬрдЧрд╣ рдмрдЪрд╛рдКрдВрдЧрд╛, рдХреНрдпреЛрдВрдХрд┐ 595x рдХреЗ рд╕рд╛рде arduino рдХрд╛ рдХрд╛рдо рдкреВрд░реА рддрд░рд╣ рд╕реЗ рдпрд╣рд╛рдВ рд╡рд░реНрдгрд┐рдд рд╣реИред 595 рдорд┐рдореА рд╣рдо рдкрддрд╛ рдЙрддреНрдкрдиреНрди рдХрд░реЗрдВрдЧреЗред рдЪрд┐рдк рдХреЛ 2 рдЯреБрдХрдбрд╝реЛрдВ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реЛрдЧреА (рдХреНрдпреЛрдВрдХрд┐ рд╣рдорд╛рд░реЗ рдкрд╛рд╕ рдкрддреЗ рдХреЗ 13 рдмрд┐рдЯреНрд╕ рд╣реИрдВ, рдФрд░ 595 рд╡реЗрдВ рдореЗрдВ 8 рдкрд┐рди рд╣реИрдВ)ред рдмрд╕ рд╡рд┐рд╕реНрддрд╛рд░ рдХреЗ рд▓рд┐рдП рдХрдИ 595x рдХрдиреЗрдХреНрдЯ рдХреИрд╕реЗ рдХрд░реЗрдВ рдКрдкрд░ рджрд┐рдП рдЧрдП рд▓рд┐рдВрдХ рдкрд░ рд╡рд┐рд╕реНрддрд╛рд░ рд╕реЗ рд╡рд░реНрдгрд┐рдд рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИред рдореИрдВ рдХреЗрд╡рд▓ рдЗрд╕ рдмрд╛рдд рдкрд░ рдзреНрдпрд╛рди рджреЗрддрд╛ рд╣реВрдВ рдХрд┐ рдЙрд╕ рд▓рд┐рдВрдХ рдкрд░ OE (рдкрд┐рди 13) 595x рдХреЛ рдЬрдореАрди рдкрд░ рдЦреАрдВрдЪрд╛ рдЧрдпрд╛ рд╣реИред рд╣рдо рд╕реНрдкрд╖реНрдЯ рд░реВрдк рд╕реЗ рдРрд╕рд╛ рдирд╣реАрдВ рдХрд░реЗрдВрдЧреЗ, рд╣рдо рд╡рд╣рд╛рдБ Arduinki рд╕реЗ рдПрдХ рд╕рдВрдХреЗрдд рднреЗрдЬреЗрдВрдЧреЗ, рдХреНрдпреЛрдВрдХрд┐ 595x рдкрд┐рди рд╕реАрдзреЗ RAM рдПрдбреНрд░реЗрд╕ рдмрд╕ рд╕реЗ рдЬреБрдбрд╝реЗ рд╣реЛрдВрдЧреЗ, рдФрд░ рд╣рдореЗрдВ рд╡рд╣рд╛рдБ рдХрд┐рд╕реА рднреА рд╕рдВрдХреЗрдд рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рдирд╣реАрдВ рд╣реИред 595x рдкрд┐рди рдХреЛ рд░реИрдо рдПрдбреНрд░реЗрд╕ рдмрд╕ рд╕реЗ рдЬреЛрдбрд╝рдиреЗ рдХреЗ рдмрд╛рдж, рдореЙрдХ-рдЕрдк рдкрд░ рдХреБрдЫ рднреА рдХрд░рдиреЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рдирд╣реАрдВ рд╣реИред рдЕрд░реБрдбреАрдВрдХрд╛ рдХреЛ рдЬреЛрдбрд╝рдиреЗ рдХрд╛ рд╕рдордпред рд▓реЗрдХрд┐рди рдкрд╣рд▓реЗ, рдПрдХ рд╕реНрдХреЗрдЪ рд▓рд┐рдЦреЗрдВ:

// CPU defines
#define CPU_CLOCK_PIN 2
#define CPU_RESET_PIN 3

// RAM defines
#define RAM_OUTPUT_ENABLE_PIN 4
#define RAM_WRITE_ENABLE_PIN 5
#define RAM_CHIP_ENABLE_PIN 6
#define RAM_BUFFER_PIN 7

// Shift Register defines
#define SR_DATA_PIN 8
#define SR_OUTPUT_ENABLE_PIN 9
#define SR_LATCH_PIN 10
#define SR_CLOCK_PIN 11

//////////////////////////////////////////////////////////////////////////

void setup() {
  // All CPU and RAM control signals need to be configured as inputs by default
  // and only changed to outputs when used.
  // Shift register control signals may be preconfigured

  // CPU controls seetup
  DDRC = B00000000;
  pinMode(CPU_CLOCK_PIN, INPUT);
  pinMode(CPU_RESET_PIN, INPUT);

  // RAM setup
  pinMode(RAM_WRITE_ENABLE_PIN, INPUT);
  pinMode(RAM_OUTPUT_ENABLE_PIN, INPUT);
  pinMode(RAM_CHIP_ENABLE_PIN, INPUT);
  pinMode(RAM_BUFFER_PIN, OUTPUT);
  digitalWrite(RAM_BUFFER_PIN, LOW);

  // SR setup
  pinMode(SR_LATCH_PIN, OUTPUT);
  pinMode(SR_CLOCK_PIN, OUTPUT);
  pinMode(SR_DATA_PIN, OUTPUT);
  pinMode(SR_OUTPUT_ENABLE_PIN, OUTPUT);
  digitalWrite(SR_OUTPUT_ENABLE_PIN, HIGH); // active low

  // common setup
  Serial.begin(9600);
  Serial.println("Hello");
}// setup

//////////////////////////////////////////////////////////////////////////

void shiftReadValueFromAddress(uint16_t address, uint8_t *value) {
  // disable RAM output
  pinMode(RAM_WRITE_ENABLE_PIN, OUTPUT);
  digitalWrite(RAM_WRITE_ENABLE_PIN, HIGH); // active low
  pinMode(RAM_OUTPUT_ENABLE_PIN, OUTPUT);
  digitalWrite(RAM_OUTPUT_ENABLE_PIN, HIGH); // active low
  // set address
  digitalWrite(SR_LATCH_PIN, LOW);
  shiftOut(SR_DATA_PIN, SR_CLOCK_PIN, MSBFIRST, address>>8); 
  shiftOut(SR_DATA_PIN, SR_CLOCK_PIN, MSBFIRST, address);  
  digitalWrite(SR_LATCH_PIN, HIGH);
  digitalWrite(SR_OUTPUT_ENABLE_PIN, LOW); // active low
  // write value to RAM
  digitalWrite(RAM_OUTPUT_ENABLE_PIN, LOW); // active low
  delay(1);
  DDRC = B00000000;
  *value = PINC;
  digitalWrite(RAM_OUTPUT_ENABLE_PIN, HIGH); // active low
  // disable SR
  digitalWrite(SR_OUTPUT_ENABLE_PIN, HIGH); // active low
  pinMode(RAM_WRITE_ENABLE_PIN, INPUT);
  pinMode(RAM_OUTPUT_ENABLE_PIN, INPUT);
}// shiftWriteValueToAddress

//////////////////////////////////////////////////////////////////////////

void runClock(uint32_t cycles) {
  uint32_t currCycle = 0;
  pinMode(CPU_CLOCK_PIN, OUTPUT);
  while(currCycle < cycles) {
    digitalWrite(CPU_CLOCK_PIN, HIGH);
    digitalWrite(CPU_CLOCK_PIN, LOW);
    currCycle++;
  }
  pinMode(CPU_CLOCK_PIN, INPUT);
}// runClock

//////////////////////////////////////////////////////////////////////////

void trySpectrum() {
  pinMode(RAM_WRITE_ENABLE_PIN, INPUT);
  pinMode(RAM_OUTPUT_ENABLE_PIN, INPUT);
  pinMode(CPU_RESET_PIN, OUTPUT);
  digitalWrite(CPU_RESET_PIN, LOW);
  runClock(30);
  digitalWrite(CPU_RESET_PIN, HIGH);
  runClock(12500000);
}// trySpectrum

//////////////////////////////////////////////////////////////////////////

void readDisplayLines() {
  uint8_t value;
  digitalWrite(RAM_BUFFER_PIN, HIGH);
  pinMode(RAM_CHIP_ENABLE_PIN, OUTPUT);
  digitalWrite(RAM_CHIP_ENABLE_PIN, LOW);
  for(uint16_t i=16384; i<16384+6144;i++) {
    shiftReadValueFromAddress(i, &value);
    Serial.println(value);
  }
  pinMode(RAM_CHIP_ENABLE_PIN, INPUT);
}// readDisplayLines

//////////////////////////////////////////////////////////////////////////

void loop() {
  trySpectrum();
  Serial.println("Hope we are ok now. Please set up memory for reading");
  delay(40000);
  Serial.println("Reading memory");
  readDisplayLines();
  Serial.println("Done");
  delay(100000);
}// loop

рдЬреИрд╕рд╛ рдХрд┐ рдЖрдк рд╕реНрдХреЗрдЪ рд╕реЗ рджреЗрдЦ рд╕рдХрддреЗ рд╣реИрдВ (рдЕрдЪреНрдЫреА рддрд░рд╣ рд╕реЗ, рд╡рд╛рд╕реНрддрд╡ рдореЗрдВ, рдЕрдЪрд╛рдирдХ, рдХрд┐рд╕реА рдиреЗ рдЗрд╕реЗ рдкрдврд╝рд╛ рд╣реИ), рдореИрдВрдиреЗ рдкреЛрд░реНрдЯ рд╕реА рдХреЛ рдбреЗрдЯрд╛ рдмрд╕ рдкрдврд╝рд╛ред рдЬреИрд╕рд╛ рдХрд┐ Arduischik рдпрд╛рдж рдХрд░ рд╕рдХрддреЗ рд╣реИрдВ, рд╕реАрдЖрдИрдбреА тАЛтАЛрдкреЛрд░реНрдЯ рд╕реА рдореЗрдВ 6 рдкрд┐рди рд╣реИрдВред рдпрд╣реА рд╣реИ, рдореИрдВ рдХреЗрд╡рд▓ 6 рдмрд┐рдЯреНрд╕ рдкрдврд╝рддрд╛ рд╣реВрдВред рд╣рд╛рдВ, рдкреНрд░рдХреНрд░рд┐рдпрд╛ рдХреА рд╕рд╛рджрдЧреА рдХреЗ рд▓рд┐рдП, рдореИрдВ рд╕реНрдХреНрд░реАрди рдмрдлрд░ рдХреЗ рдкреНрд░рддреНрдпреЗрдХ рдмрд╛рдЗрдЯ рдореЗрдВ 2 рдЙрдЪреНрдЪ рдмрд┐рдЯреНрд╕ рдХреЛ рдЕрдирджреЗрдЦрд╛ рдХрд░рддрд╛ рд╣реВрдВред рдпрд╣ рдЗрд╕ рддрдереНрдп рдХреЗ рдкрд░рд┐рдгрд╛рдорд╕реНрд╡рд░реВрдк рд╣реЛрдЧрд╛ рдХрд┐ 6 рдХреЗ рдмрд╛рдж рд╣рд░ 2 рдкрд┐рдХреНрд╕реЗрд▓ рд╣рдореЗрд╢рд╛ рдкреГрд╖реНрдарднреВрдорд┐ рд░рдВрдЧ рд╣реЛрдВрдЧреЗред рдЬрдмрдХрд┐ рдПрдХ рд╕рд╡рд╛рд░реА, рддреЛ рдЗрд╕реЗ рдареАрдХ рдХрд░реЗрдВред рдпрд╣ рдХрдВрдХрд╛рд▓ рд╣реИред

рдЕрдм рдХрдиреЗрдХреНрд╢рди рдХреЗ рд▓рд┐рдП рд╣реАред рд╕рд┐рджреНрдзрд╛рдВрдд рд░реВрдк рдореЗрдВ, рд╕рдм рдХреБрдЫ рд╕реНрдХреЗрдЪ рдХреЗ рд╢реАрд░реНрд╖ рдкрд░ рдЪрд┐рддреНрд░рд┐рдд рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИ:

// CPU defines
#define CPU_CLOCK_PIN 2 -  2     6  ( )
#define CPU_RESET_PIN 3 -  3     26  (RESET)

// RAM defines
#define RAM_OUTPUT_ENABLE_PIN 4 -  4     22  (OE)
#define RAM_WRITE_ENABLE_PIN 5 -  5    .     .
#define RAM_CHIP_ENABLE_PIN 6 -  6     .        ,        .   - ,   -  .   ,   .
#define RAM_BUFFER_PIN 7 -  ,    6,    .

// Shift Register defines
#define SR_DATA_PIN 8   -  8     14 "" 595.        9 ,     .
#define SR_OUTPUT_ENABLE_PIN 9 -   13  595
#define SR_LATCH_PIN 10 -   12  595
#define SR_CLOCK_PIN 11 -   11  595.

рд╕рдм рдХреБрдЫ рд╕рд░рд▓ рд╣реИред рдпрд╣рд╛рдВ рдмрддрд╛рдпрд╛ рдЧрдпрд╛ рд╣реИ рдХрд┐ рдРрд╕рд╛ рд▓рдЧрддрд╛ рд╣реИ рдХрд┐ рдореИрдВ рд╕рднреА рдЗрдХрдЯреНрдареЗ рд╣реВрдВ (рдЕрд░рдбрд┐рдиреЛ рдХреЛ рдЪрд┐рддреНрд░ рдореЗрдВ рдХрд╛рдЯ рджрд┐рдпрд╛ рдЧрдпрд╛ рдерд╛, рд▓реЗрдХрд┐рди рджреЗрдЦрдиреЗ рдХреЗ рд▓рд┐рдП рдХреБрдЫ рдЦрд╛рд╕ рдирд╣реАрдВ рд╣реИ):



рд╕реНрдЯрд╛рд░реНрдЯрдЕрдк рдкрд░, рдЕрд░реБрдбрд┐рдиреЛ рдХрдВрдкреНрдпреВрдЯрд░ рдХреЗ рд╕реАрд░рд┐рдпрд▓ рдкреЛрд░реНрдЯ (рдпрджреНрдпрдкрд┐ рдЖрднрд╛рд╕реА) рдХреЛ рд╣реИрд▓реЛ рдХрд╣реЗрдВрдЧреЗ, рдФрд░ рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЛ рдкреАрдбрд╝рд╛ рджреЗрдирд╛ рд╢реБрд░реВ рдХрд░ рджреЗрдВрдЧреЗред рдЙрд╕реЗ (рдХреБрдЫ рдорд┐рдирдЯреЛрдВ) рдкреВрд░реА рддрд░рд╣ рд╕реЗ рдкреНрд░рддрд╛рдбрд╝рд┐рдд рдХрд░рдиреЗ рдХреЗ рдмрд╛рдж, рдХрд╛рд░реНрдпрдХреНрд░рдо рдЧрд░реАрдм рдЖрджрдореА рдХреЛ рд░реЛрдХ рджреЗрдЧрд╛ рдФрд░ рдЖрдкрдХреЛ рдмреНрд░реЗрдбрдмреЛрд░реНрдб рдкрд░ рдкреЗрди рдХреЗ рд╕рд╛рде рдЬрдВрдкрд░реНрд╕ рдХреЛ рдлрд┐рд░ рд╕реЗ рд╡реНрдпрд╡рд╕реНрдерд┐рдд рдХрд░рдиреЗ рдХреА рдкреЗрд╢рдХрд╢ рдХрд░реЗрдЧрд╛, рдкрддрд╛ рдмрд╕ рдФрд░ рдкреНрд░реЛрд╕реЗрд╕рд░ рдирд┐рдпрдВрддреНрд░рдг рд╕рдВрдХреЗрддреЛрдВ рд╕реЗ рдореЗрдореЛрд░реА рдХреЛ рдбрд┐рд╕реНрдХрдиреЗрдХреНрдЯ рдХрд░ рджреЗрдЧрд╛ред

рдЕрдм рд╣рдореЗрдВ рдЬрдореАрди рд╕реЗ + 5 рд╡реА рддрдХ 74HC245 рдХреЗ рджреЛрдиреЛрдВ рдкрд┐рдиреЛрдВ рд╕реЗ рдЬреБрдбрд╝реЗ рддрд╛рд░реЛрдВ рдХреЛ рдлрд┐рд░ рд╕реЗ рд╡реНрдпрд╡рд╕реНрдерд┐рдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рд╣реИрдВрдбрд▓ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдиреЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реИред рдЗрд╕ рдкреНрд░рдХрд╛рд░, рд╣рдо рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЛ рд░реИрдо рд╕реЗ рдбрд┐рд╕реНрдХрдиреЗрдХреНрдЯ рдХрд░рддреЗ рд╣реИрдВред рд░реИрдо рдЪрд┐рдк рдХрд╛ рдкрд┐рди 22 рд╕реНрд╡рдпрдВ рдЬрдореАрди рд╕реЗ рдЬреБрдбрд╝рд╛ рд╣реЛрдирд╛ рдЪрд╛рд╣рд┐рдП (рдореИрдВрдиреЗ рддрд╛рд░реЛрдВ рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдКрдкрд░ рд▓рд┐рдЦрд╛ рдерд╛, рдЬрд┐рд╕реЗ рдореИрдВ рдЕрднреА рддрдХ рдмреНрд░реЗрдбрдмреЛрд░реНрдб рдореЗрдВ рдЕрдЯрдХрд╛ рд╣реБрдЖ рд╣реИ, рдЕрдкреНрд░рдпреБрдХреНрдд рд╕реНрдерд╛рди рдкрд░)ред рдЗрд╕ рдкреНрд░рдХрд╛рд░, рд╣рдо рдЬрдмрд░рди рд░реИрдо рдХреЛ рдЪрд╛рд▓реВ рдХрд░рддреЗ рд╣реИрдВред

рдЙрд╕рдХреЗ рдмрд╛рдж, рдереЛрдбрд╝рд╛ рдЗрдВрддрдЬрд╛рд░ рдХрд░рдиреЗ рдХреЗ рдмрд╛рдж, Arduinka рдореЗрдореЛрд░реА рдХреА рд╕рд╛рдордЧреНрд░реА рдХреЛ рдкрдврд╝рдирд╛ рд╢реБрд░реВ рдХрд░ рджреЗрдЧрд╛ рдФрд░ рдЗрд╕реЗ рд╕реАрд░рд┐рдпрд▓ рдкреЛрд░реНрдЯ рдХреЗ рд▓рд┐рдП рдПрдХ рдХреЙрд▓рдо рдореЗрдВ рдЖрдЙрдЯрдкреБрдЯ рдХрд░реЗрдЧрд╛ред рдХрдИ, рдХрдИ рд╕рдВрдЦреНрдпрд╛рдПрдБ рд╣реЛрдВрдЧреАред рдЕрдм рдЖрдк рдЗрд╕ рдбреЗрдЯрд╛ рдХреЛ рд╡рд╣рд╛рдВ рд╕реЗ рдХреЙрдкреА рдХрд░ рд╕рдХрддреЗ рд╣реИрдВ рдФрд░ рдЯреЗрдХреНрд╕реНрдЯ рдлрд╛рдЗрд▓ рдореЗрдВ рдкреЗрд╕реНрдЯ рдХрд░ рд╕рдХрддреЗ рд╣реИрдВ, рдмрд┐рдирд╛ рдХрд┐рд╕реА рдЕрдирд╛рд╡рд╢реНрдпрдХ рдЯреЗрдХреНрд╕реНрдЯ рдХреЛ рд╕рд╛рдл рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рднреВрд▓ рд╕рдХрддреЗ рд╣реИрдВ (рд╢реАрд░реНрд╖ рдкрд░ рдХреБрдЫ рдкрдВрдХреНрддрд┐рдпрд╛рдБ, рдФрд░ рд╕рдмрд╕реЗ рдиреАрдЪреЗ "рдбрди"), рд╣рдореЗрдВ рдХреЗрд╡рд▓ рдирдВрдмрд░ рдЪрд╛рд╣рд┐рдПред рдпрд╣ рд╣рдорд╛рд░реА рд╡рд┐рд╢рд┐рд╖реНрдЯрддрд╛ рд╡реАрдбрд┐рдпреЛ рдореЗрдореЛрд░реА рдореЗрдВ рджрд░реНрдЬ рд╣реИред рдпрд╣ рдХреЗрд╡рд▓ рдпрд╣ рджреЗрдЦрдиреЗ рдХреЗ рд▓рд┐рдП рд░рд╣рддрд╛ рд╣реИ рдХрд┐ рд╡реАрдбрд┐рдпреЛ рдореЗрдореЛрд░реА рдореЗрдВ рдХреНрдпрд╛ рдерд╛ред рдФрд░ рд╕реНрдкреЗрдХреНрдЯреНрд░рдо рдХреА рд╡реАрдбрд┐рдпреЛ рдореЗрдореЛрд░реА рдЖрд╕рд╛рди рдирд╣реАрдВ рд╣реИ ...

рдЬреИрд╕рд╛ рдХрд┐ рдЖрдк рджреЗрдЦ рд╕рдХрддреЗ рд╣реИрдВ, рдкрд┐рдХреНрд╕реЗрд▓ рд╕реНрд╡рдпрдВ рд░рдВрдЧ рд╕реЗ рдЕрд▓рдЧ рд╕рдВрдЧреНрд░рд╣реАрдд рдХрд┐рдП рдЬрд╛рддреЗ рд╣реИрдВред рд╣рдо рдЕрднреА рдХреЗ рд▓рд┐рдП рд░рдВрдЧ рдХреЛ рдирдЬрд░рдЕрдВрджрд╛рдЬ рдХрд░ рджреЗрдВрдЧреЗ, рдЪрд▓реЛ рдХреЗрд╡рд▓ рдкрд┐рдХреНрд╕реЗрд▓ рдХреЛ рд╣реА рдкрдврд╝реЗрдВред рд▓реЗрдХрд┐рди рдЙрдиреНрд╣реЗрдВ рдбрд┐рдХреЛрдб рдХрд░рдирд╛ рдЗрддрдирд╛ рдЖрд╕рд╛рди рдирд╣реАрдВ рд╣реИред рд╡рд┐рдЬреБрдЕрд▓ рд╕реНрдЯреВрдбрд┐рдпреЛ рдореЗрдВ рдмрд╣реБрдд рджрд░реНрдж рдХреЗ рдмрд╛рдж, рдореИрдВ рдЗрд╕ рд╕реБрд░реБрдЪрд┐рдкреВрд░реНрдг рд╕рдорд╛рдзрд╛рди рдкрд░ рдЖрдпрд╛:


#include "stdafx.h"
#include <windows.h>
#include <stdint.h>
#include <stdio.h>

LRESULT CALLBACK WndProc(HWND, UINT, WPARAM, LPARAM);
uint8_t *scrData;

VOID OnPaint(HDC hdc) {
	size_t arrSize = 6144;//sizeof(scrData) / sizeof(scrData[0]);
	//int currRow = 0, currX = 0, currBlock = 0, currY = 0, currBase = 0;
	for (size_t arrPos = 0; arrPos < arrSize; arrPos++) {
		int blockPos = arrPos % 2048;
		int currBase = (blockPos % 256) / 32;
		int currX = blockPos % 32;
		int currBlock = arrPos / 2048;
		int currRow = blockPos / 256;
		int currY = currBlock * 64 + currBase * 8 + currRow;
		for (int trueX = 0; trueX < 8; trueX++) {
			char r = ((scrData[arrPos] >> trueX) & 1)*255;
			SetPixel(hdc, currX * 8 + (8-trueX), currY, RGB(r, r, r));
		}
	}
}

void loadData() {
	FILE *file;
	errno_t err;
	if ((err = fopen_s(&file, "data.txt", "r"))) {
		MessageBox(NULL, L"Unable to oopen the file", L"Error", 1);
	}
	scrData = (uint8_t*)malloc(6144);
	int currDataPos = 0;
	char buffer[256];
	char currChar = 0;
	int currLinePos = 0;
	while (currChar != EOF) {
		currChar = getc(file);
		buffer[currLinePos++] = currChar;
		if (currChar == '\n') {
			buffer[currLinePos] = 0;
			scrData[currDataPos++] = (uint8_t)atoi(buffer);
			currLinePos = 0;
		}
	}
	fclose(file);
}

INT WINAPI WinMain(HINSTANCE hInstance, HINSTANCE, PSTR, INT iCmdShow) {
	HWND                hWnd;
	MSG                 msg;
	WNDCLASS            wndClass;
	wndClass.style = CS_HREDRAW | CS_VREDRAW;
	wndClass.lpfnWndProc = WndProc;
	wndClass.cbClsExtra = 0;
	wndClass.cbWndExtra = 0;
	wndClass.hInstance = hInstance;
	wndClass.hIcon = LoadIcon(NULL, IDI_APPLICATION);
	wndClass.hCursor = LoadCursor(NULL, IDC_ARROW);
	wndClass.hbrBackground = (HBRUSH)GetStockObject(WHITE_BRUSH);
	wndClass.lpszMenuName = NULL;
	wndClass.lpszClassName = TEXT("GettingStarted");
	RegisterClass(&wndClass);
	hWnd = CreateWindow(
		TEXT("GettingStarted"),   // window class name
		TEXT("Getting Started"),  // window caption
		WS_OVERLAPPEDWINDOW,      // window style
		CW_USEDEFAULT,            // initial x position
		CW_USEDEFAULT,            // initial y position
		CW_USEDEFAULT,            // initial x size
		CW_USEDEFAULT,            // initial y size
		NULL,                     // parent window handle
		NULL,                     // window menu handle
		hInstance,                // program instance handle
		NULL);                    // creation parameters
	loadData();
	ShowWindow(hWnd, iCmdShow);
	UpdateWindow(hWnd);
	while (GetMessage(&msg, NULL, 0, 0)) {
		TranslateMessage(&msg);
		DispatchMessage(&msg);
	}
	return msg.wParam;
}  // WinMain

LRESULT CALLBACK WndProc(HWND hWnd, UINT message, WPARAM wParam, LPARAM lParam) {
	HDC          hdc;
	PAINTSTRUCT  ps;
	switch (message) {
	case WM_PAINT:
		hdc = BeginPaint(hWnd, &ps);
		OnPaint(hdc);
		EndPaint(hWnd, &ps);
		return 0;
	case WM_DESTROY:
		PostQuitMessage(0);
		return 0;
	default:
		return DefWindowProc(hWnd, message, wParam, lParam);
	}
} // WndProc

рдХрд╛рд░реНрдпрдХреНрд░рдо рдЕрдкрдиреА рдирд┐рд░реНрджреЗрд╢рд┐рдХрд╛ рд╕реЗ data.txt рдлрд╝рд╛рдЗрд▓ рдЦреЛрд▓рддрд╛ рд╣реИред рдЗрд╕ рдлрд╝рд╛рдЗрд▓ рдореЗрдВ, arduino рдХрд╛ рдЯреЗрдХреНрд╕реНрдЯ рдЖрдЙрдЯрдкреБрдЯ (рдКрдкрд░ рдмрддрд╛рдИ рдЧрдИ рд╕рднреА рдкрдВрдХреНрддрд┐рдпреЛрдВ рдХреЛ рд╣рдЯрд╛рдиреЗ рдХреЗ рдмрд╛рджред)

рд╣рдо рдЗрд╕реЗ рдкрд░рд┐рдгрд╛рдореА рдлрд╝рд╛рдЗрд▓ рдХреЛ рдлреАрдб рдХрд░рддреЗ рд╣реИрдВ, рдФрд░ рдкрд░рд┐рдгрд╛рдорд╕реНрд╡рд░реВрдк:



рд╣рд╛рдБ, рдЬрдмрдХрд┐ рдкрд░рд┐рдгрд╛рдо рдЖрджрд░реНрд╢ рд╕реЗ рдмрд╣реБрдд рджреВрд░ рд╣реИ, рд▓реЗрдХрд┐рди рдпрд╣ рдирд┐рд╢реНрдЪрд┐рдд рд░реВрдк рд╕реЗ рд╕реНрдХреНрд░реАрди рдкрд░ рдЖрдЙрдЯрдкреБрдЯ рд╣реИред рдЗрд╕рдХреЗ рдЕрд▓рд╛рд╡рд╛, рдПрдХ рд╣реИ рдХрд┐ рдЬрд░реВрд░рдд рд╣реИред рдбрд╛рдпрдЧреНрдиреЛрд╕реНрдЯрд┐рдХ рдлрд░реНрдорд╡реЗрдпрд░ рдХреЗ рд╕рд╛рде ROM рд╕реЗред

рдареАрдХ рд╣реИ, рдХрдВрдкреНрдпреВрдЯрд░ рдХрдВрдХрд╛рд▓ рддреИрдпрд╛рд░ рд╣реИред рд╣рд╛рдВ, рдЕрднреА рддрдХ рдЗрд╕рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдирд╛ рдЕрд╕рдВрднрд╡ рд╣реИ, рд▓реЗрдХрд┐рди рдЖрдк рджреЗрдЦ рд╕рдХрддреЗ рд╣реИрдВ рдХрд┐ рдкреБрд░рд╛рдиреЗ 8-рдмрд┐рдЯ рдХрдВрдкреНрдпреВрдЯрд░реЛрдВ рдХреА рд╡реНрдпрд╡рд╕реНрдерд╛ рдХрд┐рддрдиреА рд╕рд░рд▓ рдереАред рдореИрдВрдиреЗ рдЕрднреА рднреА рдмреНрд░реЗрдбрдмреЛрд░реНрдб рдкрд░ рдереЛрдбрд╝рд╛ рд╣рд░рд╛ рджрд┐рдпрд╛, рд▓реЗрдХрд┐рди рдирд┐рд╖реНрдХрд░реНрд╖ рдХреЗрд╡рд▓ рдЦрд░рд╛рдм рд╣реЛ рдЧрдпрд╛ред рдРрд╕рд╛ рд▓рдЧрддрд╛ рд╣реИ рдХрд┐ рдЕрдЧрд▓рд╛ рдХрджрдо рд╕рд╛рдорд╛рдиреНрдп рд╢рдХреНрддрд┐ рдХреЗ рд╕рд╛рде рдПрдХ рд╕рд╛рдорд╛рдиреНрдп, рдмрд┐рдирд╛ рдлрд╝реЛрд▓реНрдбрд░ рд╡рд╛рд▓реЗ рдмреНрд░реЗрдбрдмреЛрд░реНрдб рдкрд░ рдорд┐рд▓рд╛рдк рдХрд░рдирд╛ рд╣реИред

рд▓реЗрдХрд┐рди рдХреНрдпрд╛ рдпрд╣ рдЬрд░реВрд░реА рд╣реИ?

All Articles