рдЗрдВрдЯреЗрд▓ рд╡реА-рд╕реАрд░реАрдЬрд╝ FPGAs рдореЗрдВ рдкреАрд╕реАрдЖрдИ рдПрдХреНрд╕рдкреНрд░реЗрд╕: тАЛтАЛрдЗрдВрдЯрд░рдлрд╝реЗрд╕ рдореВрд▓ рдмрд╛рддреЗрдВ рдФрд░ рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рдХреЛрд░ рд╕реБрд╡рд┐рдзрд╛рдПрдБ

рдкрд░рд┐рдЪрдп


PCI рдПрдХреНрд╕рдкреНрд░реЗрд╕ рдпрд╛ PCIe рдЗрдВрдЯрд░рдлрд╝реЗрд╕, рдХрдИ рдХреЗ рд▓рд┐рдП рдкрд░рд┐рдЪрд┐рдд рд╣реИ, FPGA рд╕рд┐рд╕реНрдЯрдо рдХреЗ рдбреЗрд╡рд▓рдкрд░реНрд╕ рдХреЗ рд▓рд┐рдП рдкрд╣рд▓реЗ рд╕реЗ рд╣реА рдЙрдкрд▓рдмреНрдз рдерд╛ рдЬрдм рдпрд╣ рд╕рд┐рд░реНрдл рдбрд┐рдЬрд┐рдЯрд▓ рддрдХрдиреАрдХ рдореЗрдВ рдлреИрд▓рдиреЗ рдХреА рд╢реБрд░реБрдЖрдд рдХрд░ рд░рд╣рд╛ рдерд╛ред рдЗрд╕ рд╕рдордп, рдПрдХ рд╕рдорд╛рдзрд╛рди рдерд╛ рдЬрд┐рд╕рдореЗрдВ рд╕реЙрдлреНрдЯрд╡реЗрдпрд░ рдХреЛрд░ рдмрд╛рд╣рд░реА рднреМрддрд┐рдХ-рд╕реНрддрд░ рдХреЗ рдорд╛рдЗрдХреНрд░реЛрдХреНрд░рд┐рдЪрдЯ [ 5 ] рд╕реЗ рдЬреБрдбрд╝рд╛ рдерд╛ ред рдЗрд╕рд╕реЗ рдкреНрд░рддрд┐ рд╕реЗрдХрдВрдб 2.5 рдЧреАрдЧрд╛рдЯреНрд░рд╛рдВрд╕ рдХреА рдЧрддрд┐ рд╕реЗ рд╕рд┐рдВрдЧрд▓-рд▓реЗрди PCIe рд▓рд╛рдЗрди рдмрдирд╛рдирд╛ рд╕рдВрднрд╡ рд╣реЛ рдЧрдпрд╛ред рдЗрд╕рдХреЗ рдЕрд▓рд╛рд╡рд╛, рдкреНрд░реМрджреНрдпреЛрдЧрд┐рдХрд┐рдпреЛрдВ рдХреЗ рд╡рд┐рдХрд╛рд╕ рдХреЗ рд▓рд┐рдП рдзрдиреНрдпрд╡рд╛рдж, рдЗрдВрдЯрд░рдлрд╝реЗрд╕ рдХреА рднреМрддрд┐рдХ рдкрд░рдд рд╕реНрд╡рдпрдВ FPGAs рдХреЗ рдЕрдВрджрд░ PCIe рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рдмреНрд▓реЙрдХреЛрдВ рдореЗрдВ рдЪрд▓реА рдЧрдИ; рд╕рдВрднрд╛рд╡рд┐рдд рдЪреИрдирд▓реЛрдВ рдХреА рд╕рдВрдЦреНрдпрд╛ рдмрдврд╝рдХрд░ 8 рд╣реЛ рдЧрдИ, рдФрд░ рдХрдИ рдирдП рдорд╛рдЗрдХреНрд░реЛ-рд╕рд░реНрдХрд┐рдЯ рдореЗрдВ - 16 рддрдХ; рдЖрдзреБрдирд┐рдХ рдорд╛рдирдХреЛрдВ рдХреЗ рдмрд╛рдж, рд╕рдВрднрд╡ рдбреЗрдЯрд╛ рдЕрдВрддрд░рдг рджрд░ рдмрдврд╝реА рд╣реИред

рдЗрд╕реА рд╕рдордп, рд░реВрд╕реА рднрд╛рд╖рд╛ рдХреЗ рд╕реНрд░реЛрддреЛрдВ рдореЗрдВ рдЖрдзреБрдирд┐рдХ FPGAs рдХреЗ рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рдХреЛрд░ рдХреЗ рд╕рд╛рде рдХрд╛рдо рдХрд░рдиреЗ рдкрд░ рд╕рд╣рд╛рдпрдХ рд╕рд╛рдордЧреНрд░реА рдвреВрдВрдврдирд╛ рдЕрднреА рднреА рдореБрд╢реНрдХрд┐рд▓ рд╣реИ, PCIe рдЗрдВрдЯрд░рдлрд╝реЗрд╕ рдкрд░ рдмрд╣реБрдд рдЕрдзрд┐рдХ рдЬрд╛рдирдХрд╛рд░реА рдЙрдкрд▓рдмреНрдз рдирд╣реАрдВ рд╣реИред рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рдХреЗ рд▓рд┐рдП рдЧрд╛рдЗрдб рдкреАрд╕реАрдЖрдИ рдПрдХреНрд╕рдкреНрд░реЗрд╕ рдХреЛрд░ рдХрд╛ рдорддрд▓рдм рд╣реИ рдХрд┐ рдбреЗрд╡рд▓рдкрд░ рдкрд╣рд▓реЗ рд╕реЗ рд╣реА рдорд╛рдирдХ рд╕реЗ рдкрд░рд┐рдЪрд┐рдд рд╣реЛ рдЧрдпрд╛ рд╣реИ рдФрд░ рдбрд┐рд╡рд╛рдЗрд╕ рдФрд░ рдПрдХ рд╡реНрдпрдХреНрддрд┐рдЧрдд рдХрдВрдкреНрдпреВрдЯрд░ (рдкреАрд╕реА) рдХреЗ рдмреАрдЪ рдбреЗрдЯрд╛ рдЯреНрд░рд╛рдВрд╕рдлрд░ рдХреА рдореВрд▓ рдмрд╛рддреЗрдВ рд╕рдордЭрддрд╛ рд╣реИред рд╣рд╛рд▓рд╛рдВрдХрд┐, PCIe рдорд╛рдирдХ рдореЗрдВ рдЬрд╛рдирдХрд╛рд░реА рдХреА рдкреНрд░рдЪреБрд░рддрд╛ рд╕реНрд╡рдпрдВ рдХреЛ рддреБрд░рдВрдд рд╕рдордЭ рдореЗрдВ рдирд╣реАрдВ рдЖрддрд╛ рд╣реИ рдХрд┐ рдбрд┐рд╡рд╛рдЗрд╕ рд╕реЗ рдкреАрд╕реА рдореЗрдореЛрд░реА рдпрд╛ рдЗрд╕рдХреЗ рд╡рд┐рдкрд░реАрдд рдбреЗрдЯрд╛ рдХреЛ рд╕рдлрд▓рддрд╛рдкреВрд░реНрд╡рдХ рд╕реНрдерд╛рдирд╛рдВрддрд░рд┐рдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдХреНрдпрд╛ рдХрджрдо рдЙрдард╛рдП рдЬрд╛рдиреЗ рдЪрд╛рд╣рд┐рдПред рдЕрдзрд┐рдХ рд╕рдВрдкреВрд░реНрдг рдЪрд┐рддреНрд░ рдкреНрд░рд╛рдкреНрдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП, рдЬрд╛рдирдХрд╛рд░реА рдХрд╛ рдПрдХ рдмрдбрд╝рд╛ рд╣рд┐рд╕реНрд╕рд╛ рд╡рд┐рднрд┐рдиреНрди рд╕реНрд░реЛрддреЛрдВ рд╕реЗ рдмрд┐рдЯ рджреНрд╡рд╛рд░рд╛ рдПрдХрддреНрд░ рдХрд┐рдпрд╛ рдЬрд╛рдирд╛ рд╣реИред рдЗрдВрдЯреЗрд▓ FPGA рд╕рд┐рд╕реНрдЯрдо рдХреЗ рдбреЗрд╡рд▓рдкрд░реНрд╕ рдХреЗ рд▓рд┐рдП, рдХрдард┐рдирд╛рдИ рднреА рд╣реИрдЙрдкрд▓рдмреНрдз рд╕рд╛рдордЧреНрд░реА рдФрд░ рд▓реЗрдЦреЛрдВ рдореЗрдВ рд╕реЗ рдЕрдзрд┐рдХрд╛рдВрд╢ Xilinx FPGA рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рдХреЛрд░ рдХреЗ рд╕рд╛рде рдХрд╛рдо рдХрд░рдиреЗ рдХрд╛ рд╡рд░реНрдгрди рдХрд░рддреЗ рд╣реИрдВред

рдЗрд╕ рд▓реЗрдЦ рдореЗрдВ, рд▓реЗрдЦрдХ рдЗрд╕ рдмрд╛рд░реЗ рдореЗрдВ рдмрд╛рдд рдХрд░рдиреЗ рдХреА рдХреЛрд╢рд┐рд╢ рдХрд░реЗрдЧрд╛ рдХрд┐ PCI рдПрдХреНрд╕рдкреНрд░реЗрд╕ рдЗрдВрдЯрд░рдлрд╝реЗрд╕ рдХреЗ рд╕рд╛рде рдХрд╛рдо рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП FPGA рд╕рд┐рд╕реНрдЯрдо рдбрд┐рдЬрд╝рд╛рдЗрдирд░ рдХреЛ рдХреНрдпрд╛ рдЬрд╛рдирдирд╛ рдЪрд╛рд╣рд┐рдП; рдПрд╡рд▓реЙрди-рдПрд╕рдЯреА рд╕рдВрд╕реНрдХрд░рдг рдореЗрдВ рдЗрдВрдЯреЗрд▓ рд╕реЗ рд╡реА-рд╕реАрд░реАрдЬрд╝ рдХреЗ рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рдХреЛрд░ рдкреАрд╕реАрдЖрдИ рдПрдХреНрд╕рдкреНрд░реЗрд╕ рдПрдлрдкреАрдЬреАрдП рдХреЗ рд╕рд╛рде рдХрд╛рдо рдХрд░рдиреЗ рдХреА рд╕реБрд╡рд┐рдзрд╛рдУрдВ рдкрд░ рд╡рд┐рдЪрд╛рд░ рдХрд░реЗрдВрдЧреЗред

PCIe рд╕реНрддрд░ рдФрд░ рдкреИрдХреЗрдЯ рдкреНрд░рдХрд╛рд░


рдЗрд╕ рддрдереНрдп рдХреЗ рдмрд╛рд╡рдЬреВрдж рдХрд┐ рдкреАрд╕реАрдЖрдИ рдПрдХреНрд╕рдкреНрд░реЗрд╕ рдХреЛ рдЕрдХреНрд╕рд░ рдмрд╕ рдХрд╣рд╛ рдЬрд╛рддрд╛ рд╣реИ, рд╡рд╛рд╕реНрддрд╡ рдореЗрдВ, рдпрд╣ рдЗрдВрдЯрд░рдлрд╝реЗрд╕ рд╕реАрд░рд┐рдпрд▓ рдбреБрдкреНрд▓реЗрдХреНрд╕ рдЪреИрдирд▓реЛрдВ рдХреЗ рд╕рдореВрд╣реЛрдВ рджреНрд╡рд╛рд░рд╛ рдЬреБрдбрд╝реЗ рдЙрдкрдХрд░рдгреЛрдВ рдХрд╛ рдПрдХ рдиреЗрдЯрд╡рд░реНрдХ рд╣реИред рдкреАрд╕реАрдЖрдИ рдПрдХреНрд╕рдкреНрд░реЗрд╕ рдиреЗрдЯрд╡рд░реНрдХ рдореЗрдВ рд╕реНрд╡рдпрдВ рдХрдИ рдореБрдЦреНрдп рдиреЛрдбреНрд╕ рд╣реЛрддреЗ рд╣реИрдВ: рд░реВрдЯ (рд░реВрдЯ), рдПрдВрдбрдкреЙрдЗрдВрдЯ (рдПрдВрдбрдкреЙрдЗрдВрдЯ) рдФрд░ рд░рд╛рдЙрдЯрд░ (рд╕реНрд╡рд┐рдЪ) (рдЪрд┐рддреНрд░ 1)ред рдХреЗрд╡рд▓ рджреЛ рдЙрдкрдХрд░рдгреЛрдВ рдХреЗ рдмреАрдЪ рдбреЗрдЯрд╛ рд╕реНрдерд╛рдирд╛рдВрддрд░рд┐рдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП, рдПрдХ рд░реВрдЯ рдФрд░ рдПрдХ рд╕рдорд╛рдкрди рдмрд┐рдВрджреБ рд╣реЛрдирд╛ рдкрд░реНрдпрд╛рдкреНрдд рд╣реИред рдЖрдзреБрдирд┐рдХ рдкреАрд╕реА рдХреЗ рдорд╛рдорд▓реЗ рдореЗрдВ, рдиреЗрдЯрд╡рд░реНрдХ рд░реВрдЯ рдХреЗрдВрджреНрд░реАрдп рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЗ рдХреЛрд░ рдХреЗ рд╕рд╛рде рдПрдХ рд╕рдмреНрд╕рдЯреНрд░реЗрдЯ рдкрд░ рд╕реНрдерд┐рдд рд╣реИред рднрд▓реЗ рд╣реА рдЬрд╣рд╛рдВ PCIe рд░реВрдЯ рд╕реНрдерд┐рдд рд╣реИ, рд╡рд╣ рд╕рд┐рд╕реНрдЯрдо рдореЗрдореЛрд░реА рдХреЗ рд╕рд╛рде рдЬреБрдбрд╝рд╛ рд╣реБрдЖ рд╣реИред


рдЪрд┐рддреНрд░ 1 - PCIe рдиреЗрдЯрд╡рд░реНрдХ

PCIe рдбреЗрдЯрд╛ рдЯреНрд░рд╛рдВрд╕рдлрд░ рдкреНрд░реЛрдЯреЛрдХреЙрд▓ рдХреЛ рддреАрди рдкрд░рддреЛрдВ рдореЗрдВ рдмрд╛рдВрдЯрд╛ рдЧрдпрд╛ рд╣реИ: Transaction Layer, Data Link Layer рдФрд░ Physical Layerред рдЗрдВрдЯрд░рдлрд╝реЗрд╕ рдбреЗрдЯрд╛ рдкреИрдХреЗрдЯ рдХреЗ рд░реВрдк рдореЗрдВ рдкреНрд░реЗрд╖рд┐рдд рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред рдкреИрдХреЗрдЯ рдХрд╛ рд╕рд╛рдорд╛рдиреНрдпреАрдХреГрдд рджреГрд╢реНрдп


рдЪрд┐рддреНрд░ 2 рдореЗрдВ рджрд┐рдЦрд╛рдпрд╛ рдЧрдпрд╛ рд╣реИред рдЪрд┐рддреНрд░ 2 - PCIe рдкреИрдХреЗрдЯ рдХрд╛ рд╕рд╛рдорд╛рдиреНрдпреАрдХреГрдд рджреГрд╢реНрдп

ред рд▓реЗрди-рджреЗрди рдХреЗ рд╕реНрддрд░ рдкрд░, рдХрд┐рд╕реА рднреА рдкреИрдХреЗрдЯ (TLP) рдореЗрдВ рдХрдо рд╕реЗ рдХрдо рд╣реЗрдбрд░ рд╣реЛрддреЗ рд╣реИрдВред рдкреИрдХреЗрдЬ рдХреЗ рдкреНрд░рдХрд╛рд░ рдХреЗ рдЖрдзрд╛рд░ рдкрд░, рд╣реЗрдбрд░ рдХрд╛ рдкрд╛рд▓рди рдбреЗрдЯрд╛ рджреНрд╡рд╛рд░рд╛ рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ - рдкреИрдХреЗрдЬ рдХреА рдЙрдкрдпреЛрдЧреА рд╕рд╛рдордЧреНрд░реАред рдкреИрдХреЗрдЬ рдХреЗ рдЕрдВрдд рдореЗрдВ рдПрдХ рдЕрддрд┐рд░рд┐рдХреНрдд рдЪреЗрдХрд╕рдо рднреА рдЬреЛрдбрд╝рд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИред рдирд┐рдореНрдирд▓рд┐рдЦрд┐рдд рдореБрдЦреНрдп рдкреНрд░рдХрд╛рд░ рдХреЗ рд▓реЗрди-рджреЗрди рд╕реНрддрд░ рдХреЗ рдкреИрдХреЗрдЯ (рддрд╛рд▓рд┐рдХрд╛ 1) рдореМрдЬреВрдж рд╣реИрдВ:

рддрд╛рд▓рд┐рдХрд╛ 1 - рд▓реЗрдирджреЗрди рд╕реНрддрд░ рдХреЗ рдкреИрдХреЗрдЯ рдХреЗ рдкреНрд░рдХрд╛рд░
рдирд╣реАрдВред рдкреАредрдкреИрдХреЗрдЬ рджреГрд╢реНрдпрд╡рд┐рдирд┐рд░реНрджреЗрд╢ рдХреЗ рдЕрдиреБрд╕рд╛рд░ рдкреИрдХреЗрдЬ рдкреНрд░рдХрд╛рд░ рдХрд╛ рдирд╛рдо
1рдореЗрдореЛрд░реА рд░реАрдб рд░рд┐рдХреНрд╡реЗрд╕реНрдЯрдореЗрдореЛрд░реА рд░реАрдб рд░рд┐рдХреНрд╡реЗрд╕реНрдЯ
2рдореЗрдореЛрд░реА рд▓рд┐рдЦреЗрдВ рдЕрдиреБрд░реЛрдзрдореЗрдореЛрд░реА рд▓рд┐рдЦрдиреЗ рдХрд╛ рдЕрдиреБрд░реЛрдз
3рдЖрдИ / рдУ рд╕реНрдкреЗрд╕ рд░реАрдб рд░рд┐рдХреНрд╡реЗрд╕реНрдЯрдореИрдВ / рд╣реЗ рдЕрдиреБрд░реЛрдз рдкрдврд╝реЗрдВ
4I / O рд╕реНрдерд╛рди рд▓рд┐рдЦрдиреЗ рдХрд╛ рдЕрдиреБрд░реЛрдзрдореИрдВ / рд╣реЗ рдЕрдиреБрд░реЛрдз рд▓рд┐рдЦреЗрдВ
5рдХреЙрдиреНрдлрд╝рд┐рдЧрд░реЗрд╢рди рдЕрдиреБрд░реЛрдз рдкрдврд╝реЗрдВрдХреЙрдиреНрдлрд╝рд┐рдЧрд░реЗрд╢рди рд░реАрдб рдЕрдиреБрд░реЛрдз
6рдХреЙрдиреНрдлрд╝рд┐рдЧрд░реЗрд╢рди рдЕрдиреБрд░реЛрдз рд▓рд┐рдЦреЗрдВрдХреЙрдиреНрдлрд╝рд┐рдЧрд░реЗрд╢рди рдЕрдиреБрд░реЛрдз рд▓рд┐рдЦреЗрдВ
7рдкрдврд╝рдиреЗ рдХреА рдкреНрд░рддрд┐рдХреНрд░рд┐рдпрд╛рд╕рдорд╛рдкрди
8рд╕рдВрджреЗрд╢рд╕рдВрджреЗрд╢

рд▓рд┐рдВрдХ рд▓реЗрдпрд░ рдкрд░, рдПрдХ рдкреИрдХреЗрдЯ рдЕрдиреБрдХреНрд░рдо рд╕рдВрдЦреНрдпрд╛ рдФрд░ рд▓рд┐рдВрдХ рдЪреЗрдХрд╕рдо рдкреНрд░рддреНрдпреЗрдХ рд▓реЗрдирджреЗрди рд╕реНрддрд░ рдХреЗ рдкреИрдХреЗрдЯ рдореЗрдВ рдЬреЛрдбрд╝реЗ рдЬрд╛рддреЗ рд╣реИрдВред рдбреЗрдЯрд╛ рд▓рд┐рдВрдХ рдкрд░рдд рдЕрдкрдиреЗ рд╕реНрд╡рдпрдВ рдХреЗ рдкреНрд░рдХрд╛рд░ рдХреЗ рдкреИрдХреЗрдЯ (DLLP) рднреА рдмрдирд╛рддреА рд╣реИ, рдЬрд┐рд╕рдореЗрдВ рд╢рд╛рдорд┐рд▓ рд╣реИрдВ (рддрд╛рд▓рд┐рдХрд╛ 2): рддрд╛рд▓рд┐рдХрд╛ 2 - рдбреЗрдЯрд╛ рдкреИрдХ

рдкреНрд░рдХрд╛рд░
рдирд╣реАрдВред рдкреАредрдкреИрдХреЗрдЬ рджреГрд╢реНрдпрд╡рд┐рдирд┐рд░реНрджреЗрд╢ рдХреЗ рдЕрдиреБрд╕рд╛рд░ рдкреИрдХреЗрдЬ рдкреНрд░рдХрд╛рд░ рдХрд╛ рдирд╛рдо
1рд▓реЗрди-рджреЗрди рд╕реНрддрд░ рдкреИрдХреЗрдЬ рдкреБрд╖реНрдЯрд┐рдЯреАрдПрд▓рдкреА рдПрдХреЗ
2рд▓реЗрди-рджреЗрди рд╕реНрддрд░ рдкреИрдХреЗрдЬ рдЕрд╕реНрд╡реАрдХреГрддрд┐рдЯреАрдПрд▓рдкреА рдиреИрдХ
3рдКрд░реНрдЬрд╛ рдкреНрд░рдмрдВрдзрдирдКрд░реНрдЬрд╛ рдкреНрд░рдмрдВрдзрди
4рдбреЗрдЯрд╛ рдкреНрд░рд╡рд╛рд╣ рдирд┐рдпрдВрддреНрд░рдгрдкреНрд░рд╡рд╛рд╣ рдирд┐рдпрдВрддреНрд░рдг

рдЕрдВрдд рдореЗрдВ, рднреМрддрд┐рдХ рдкрд░рдд рдкреИрдХреЗрдЯ рдХреА рд╢реБрд░реБрдЖрдд рдФрд░ рдЕрдВрдд рдХреЗ рдкреНрд░рддреАрдХреЛрдВ рдХреЗ рд╕рд╛рде рдкреИрдХреЗрдЯ рдХрд╛ рдкреВрд░рдХ рд╣реИ, рдЬрд┐рд╕реЗ IEEE 802.9 рдорд╛рдирдХ рд╕реЗ рдЙрдзрд╛рд░ рд▓рд┐рдпрд╛ рдЧрдпрд╛ рд╣реИред рд▓реЗрдирджреЗрди-рд╕реНрддрд░ рдХреЗ рдкреИрдХреЗрдЯ рдХреЗ рд▓рд┐рдП, рдХреНрд░рдорд╢рдГ K27.7 рдФрд░ K29.7 рдкреНрд░рддреАрдХреЛрдВ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ; рдбреЗрдЯрд╛ рд▓рд┐рдВрдХ рдкреИрдХреЗрдЯ рдХреЗ рд▓рд┐рдП, рдкреНрд░рддреАрдХ K28.2 рдФрд░ K29.7ред
FPGA рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рдХреЛрд░ рдХреЗ рд╕рд╛рде рдХрд╛рдо рдХрд░рддреЗ рд╕рдордп, рдбреЗрд╡рд▓рдкрд░ рдХреЛ рдХреЗрд╡рд▓ рд▓реЗрдирджреЗрди-рд╕реНрддрд░ рдХреЗ рдкреИрдХреЗрдЯ рдмрдирд╛рдиреЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реЛрддреА рд╣реИ; рдЪреИрдирд▓ рдФрд░ рднреМрддрд┐рдХ рдкрд░рдд рдкреИрдХреЗрдЯ рдХрд░реНрдиреЗрд▓ рдмреНрд▓реЙрдХреЛрдВ рджреНрд╡рд╛рд░рд╛ рдмрдирддреЗ рд╣реИрдВред

рд▓реЗрди-рджреЗрди-рд╕реНрддрд░ рдкреИрдХреЗрдЯ рд░реВрдЯрд┐рдВрдЧ


рдХреБрд▓ рдорд┐рд▓рд╛рдХрд░, рд╡рд┐рднрд┐рдиреНрди рдкреНрд░рдХрд╛рд░ рдХреЗ рдкреИрдХреЗрдЯ рдкреНрд░реЗрд╖рдХ рд╕реЗ рд░рд┐рд╕реАрд╡рд░ рддрдХ рддреАрди рддрд░реАрдХреЛрдВ рд╕реЗ рдкрд╣реБрдВрдЪ рд╕рдХрддреЗ рд╣реИрдВ:

  • рдкрддреЗ рдХреЗ рд▓рд┐рдП рдорд╛рд░реНрдЧ;
  • рдЖрдИрдбреА рд░реВрдЯрд┐рдВрдЧ
  • рдЕрдкреНрд░рддреНрдпрдХреНрд╖ рдорд╛рд░реНрдЧред

рд░реВрдЯрд┐рдВрдЧ рд╡рд┐рдзрд┐ рдФрд░ рд▓реЗрди-рджреЗрди рд╕реНрддрд░ рдкреИрдХреЗрдЯ рдХреЗ рдкреНрд░рдХрд╛рд░ рдХреЗ рдмреАрдЪ рд╕рдВрдмрдВрдз рддрд╛рд▓рд┐рдХрд╛ 3 рдореЗрдВ рдкреНрд░рд╕реНрддреБрдд рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИред

рддрд╛рд▓рд┐рдХрд╛ 3 - рдорд╛рд░реНрдЧ рд╡рд┐рдзрд┐ рдФрд░ рдкреИрдХреЗрдЯ рдкреНрд░рдХрд╛рд░ рдХреЗ рдкрддреНрд░рд╛рдЪрд╛рд░
тДЦp
1.
I/O I/O
2.
ID.

3ID

.


рдкреНрд░рддреНрдпреЗрдХ рд╕рдорд╛рдкрди рдмрд┐рдВрджреБ рдХрд╛ рдЕрдкрдирд╛ рдХреЙрдиреНрдлрд╝рд┐рдЧрд░реЗрд╢рди рд╕реНрдерд╛рди рд╣реЛрддрд╛ рд╣реИ, рдЬрд╣рд╛рдВ рд╡рд┐рднрд┐рдиреНрди рдирд┐рд░реНрджреЗрд╢ рдФрд░ рд╕реНрдерд┐рддрд┐ рд░рдЬрд┐рд╕реНрдЯрд░ рд╕реНрдерд┐рдд рд╣реЛрддреЗ рд╣реИрдВред рдЗрдирдореЗрдВ рдЖрдзрд╛рд░ рдкрддрд╛ рд░рдЬрд┐рд╕реНрдЯрд░ рдпрд╛ BAR рд╣реИрдВред рдЬрдм рдПрдВрдбрдкреЙрдЗрдВрдЯреНрд╕ рдХреЛ рдЗрдирд┐рд╢рд┐рдпрд▓рд╛рдЗрдЬрд╝ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рддреЛ BIOS рдпрд╛ рдСрдкрд░реЗрдЯрд┐рдВрдЧ рд╕рд┐рд╕реНрдЯрдо рдкреНрд░рддреНрдпреЗрдХ рд╕рдорд╛рдкрди рдмрд┐рдВрджреБ рдХреЗ рд▓рд┐рдП рдореЗрдореЛрд░реА рдФрд░ рд╕реНрдкреЗрд╕ рдХреЗ рдХрд┐рд╕ рдЖрдХрд╛рд░ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реИ, рдпрд╣ рдирд┐рд░реНрдзрд╛рд░рд┐рдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдПрдВрдбрдкреЙрдЗрдВрдЯ BARs рдХреЛ рд╕реНрдХреИрди рдХрд░рддрд╛ рд╣реИред рдлрд┐рд░, рдкреНрд░рддреНрдпреЗрдХ рд╕рдХреНрд░рд┐рдп BAR рдореЗрдВ, рд╕рд┐рд╕реНрдЯрдо рдореЗрдореЛрд░реА рдХреЗ рдЖрд╡рдВрдЯрд┐рдд рд╣рд┐рд╕реНрд╕реЗ рдХрд╛ рд╢реБрд░реБрдЖрддреА рдкрддрд╛ рд▓рд┐рдЦрд╛ рдЬрд╛рддрд╛ рд╣реИред рдкрд░рд┐рдгрд╛рдорд╕реНрд╡рд░реВрдк, рд╕рдорд╛рдкрди рдмрд┐рдВрджреБ рдПрдХ рдкрддрд╛ рдкреНрд░рд╛рдкреНрдд рдХрд░рддрд╛ рд╣реИ рдЬрд╣рд╛рдВ рдЙрдкрдпреБрдХреНрдд рдЕрдиреБрд░реЛрдз рднреЗрдЬреЗ рдЬрд╛ рд╕рдХрддреЗ рд╣реИрдВред рдЖрдорддреМрд░ рдкрд░, рд╕рдорд╛рдкрди рдмрд┐рдВрджреБ рдкрд░, рдПрдХ рд░рдЬрд┐рд╕реНрдЯрд░ рдореИрдк рдмрдирддрд╛ рд╣реИ, рдЬреЛ рдЖрд╡рдВрдЯрд┐рдд рдореЗрдореЛрд░реА рдХреНрд╖реЗрддреНрд░реЛрдВ рд╕реЗ рдмрдВрдзрд╛ рд╣реЛрддрд╛ рд╣реИред

рдЗрд╕рдХреЗ рдЕрд▓рд╛рд╡рд╛, рдкреНрд░рддреНрдпреЗрдХ рд╕рдорд╛рдкрди рдмрд┐рдВрджреБ, рдпрд╛ рдмрд▓реНрдХрд┐, рддрд╛рд░реНрдХрд┐рдХ рдбрд┐рд╡рд╛рдЗрд╕ рдХреЗ рдЕрдВрджрд░, рдЕрдкрдирд╛ рд╡рд┐рд╢рд┐рд╖реНрдЯ рдкрд╣рдЪрд╛рдирдХрд░реНрддрд╛ рд╣реЛрддрд╛ рд╣реИ, рдЬрд┐рд╕рдореЗрдВ рддреАрди рднрд╛рдЧ рд╣реЛрддреЗ рд╣реИрдВ: рдмрд╕ рдирдВрдмрд░, рдбрд┐рд╡рд╛рдЗрд╕ рдирдВрдмрд░, рд▓реЙрдЬрд┐рдХрд▓ рдбрд┐рд╡рд╛рдЗрд╕ (рдлрд╝рдВрдХреНрд╢рди) рдирдВрдмрд░ред

рдЗрд╕ рддрд░рд╣, рд╕рд┐рд╕реНрдЯрдо рдХреЗ рдкрд╛рд╕ рд╕рдорд╛рдкрди рдмрд┐рдВрджреБ рдХреЗ рд╕рд╛рде рд╕рдВрд╡рд╛рдж рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдкрд░реНрдпрд╛рдкреНрдд рдЬрд╛рдирдХрд╛рд░реА рд╣реИред рд╣рд╛рд▓рд╛рдБрдХрд┐, BAR рдореЗрдВ рдХреНрд╡реЗрд░реАрдЬрд╝ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдХреЗ рдбреЗрдЯрд╛ рдЯреНрд░рд╛рдВрд╕рдорд┐рдЯ рдХрд░рдирд╛ рдЦрд░рд╛рдм рдкреНрд░рджрд░реНрд╢рди рд╣реИред рд╕рдмрд╕реЗ рдкрд╣рд▓реЗ, 32-рдмрд┐рдЯ рд╡рд╛рдЗрдб рдмрд╛рд░ рдХреЗ рд▓рд┐рдП, рдкреНрд░рдпреЛрдЧ рдХрд░рдиреЗ рдпреЛрдЧреНрдп рдЕрдиреБрд░реЛрдз рдХреА рд▓рдВрдмрд╛рдИ рдПрдХ рдбрдмрд▓ рд╢рдмреНрдж (DWORD) рддрдХ рд╕реАрдорд┐рдд рд╣реИ; 64-рдмрд┐рдЯ рдмрд╛рд░ рдХреЗ рд▓рд┐рдП, рджреЛ рджреЛрд╣рд░реЗ рд╢рдмреНрджред рджреВрд╕рд░реЗ, рдкреНрд░рддреНрдпреЗрдХ рдЕрдиреБрд░реЛрдз рдХреЗрдВрджреНрд░реАрдп рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреА рднрд╛рдЧреАрджрд╛рд░реА рдХреЗ рд╕рд╛рде рд╣реЛрддрд╛ рд╣реИред рдХреЗрдВрджреНрд░реАрдп рдкреНрд░реЛрд╕реЗрд╕рд░ рдкрд░ рд▓реЛрдб рдХреЛ рдХрдо рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП, рд╕рд╛рде рд╣реА рд╕рд╛рде рдкреНрд░рддреНрдпреЗрдХ рдкреИрдХреЗрдЬ рдХреЗ рдЖрдХрд╛рд░ рдХреЛ рдмрдврд╝рд╛рдПрдВ, рдпрд╣ рдЖрд╡рд╢реНрдпрдХ рд╣реИ рдХрд┐ рдПрдВрдбрдкреЙрдЗрдВрдЯ рд╕реНрд╡рддрдВрддреНрд░ рд░реВрдк рд╕реЗ рдбреЗрдЯрд╛ рдХреЛ рд╕рд┐рд╕реНрдЯрдо рдореЗрдореЛрд░реА рд╕реЗ рдпрд╛ рдЙрд╕рдХреЗ рдкрд╛рд╕ рд▓реЗ рдЬрд╛рдПред рдРрд╕рд╛ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП, рдПрдВрдбрдкреЙрдЗрдВрдЯ рдХреЛ рдпрд╣ рдкрддрд╛ рд╣реЛрдирд╛ рдЪрд╛рд╣рд┐рдП рдХрд┐ рдХрд┐рд╕ рд╕рд┐рд╕реНрдЯрдо рдореЗрдореЛрд░реА рдкрддреЗ рдкрд░ рд╡рд╣ рдбреЗрдЯрд╛ рд▓рд┐рдЦ тАЛтАЛрдпрд╛ рдкрдврд╝ рд╕рдХрддрд╛ рд╣реИред

рдЙрдкрд░реЛрдХреНрдд рдХреЛ рджреЗрдЦрддреЗ рд╣реБрдП, рдПрдВрдбрдкреЙрдЗрдВрдЯ рдФрд░ рд╕рд┐рд╕реНрдЯрдо рдореЗрдореЛрд░реА рдХреЗ рдмреАрдЪ рд╕рд╛рдорд╛рдиреНрдп рдбреЗрдЯрд╛ рдЯреНрд░рд╛рдВрд╕рдлрд░ рд╕реНрдХреАрдо рдХреЛ рдирд┐рдореНрдирд╛рдиреБрд╕рд╛рд░ рджрд░реНрд╢рд╛рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ:

  1. рдПрдВрдбрдкреЙрдЗрдВрдЯ рдбреНрд░рд╛рдЗрд╡рд░ рдбреЗрдЯрд╛ рд▓рд┐рдЦрдиреЗ рдХреЗ рд▓рд┐рдП рд╕рд┐рд╕реНрдЯрдо рдореЗрдореЛрд░реА рдореЗрдВ рдмрдлрд╝рд░реНрд╕ рдЖрд╡рдВрдЯрд┐рдд рдХрд░рддрд╛ рд╣реИ;
  2. рдбреНрд░рд╛рдЗрд╡рд░ рдореЗрдореЛрд░реА рдореЗрдВ рдкрддреЛрдВ рдФрд░ рдмрдлрд░ рд╕рд╛рдЗрдЬрд╝ рдХрд╛ рдПрдХ рд╕реЗрдЯ рдмрдирд╛рддрд╛ рд╣реИ - рдбреЗрдЯрд╛ рд▓рд┐рдЦрдиреЗ рдХреЗ рд▓рд┐рдП рдмрдлрд░ рдбрд┐рд╕реНрдХреНрд░рд┐рдкреНрдЯрд░;
  3. рдПрдВрдбрдкреЙрдЗрдВрдЯ рдбреНрд░рд╛рдЗрд╡рд░ BAR рдХреНрд╖реЗрддреНрд░реЛрдВ рд╕реЗ рдЬреБрдбрд╝реЗ рдбрд┐рд╡рд╛рдЗрд╕ рд░рдЬрд┐рд╕реНрдЯрд░реЛрдВ рдХреЗ рд▓рд┐рдП рдбрд┐рд╕реНрдХреНрд░рд┐рдкреНрдЯрд░ рдХреЗ рд╕реЗрдЯ рдХрд╛ рдкрддрд╛ рд▓рд┐рдЦрддрд╛ рд╣реИ;
  4. рд╕рдорд╛рдкрди рдмрд┐рдВрджреБ рдбреНрд░рд╛рдЗрд╡рд░ рдкреНрд░реЛрдЧреНрд░рд╛рдо рдбреЗрдЯрд╛ рдЯреНрд░рд╛рдВрд╕рдлрд░ рдХрдВрдЯреНрд░реЛрд▓ рд░рдЬрд┐рд╕реНрдЯрд░реЛрдВ рдХреЛ BAR рдХреНрд╖реЗрддреНрд░реЛрдВ рд╕реЗ рд╕рдВрдмрджреНрдз рдХрд░рддрд╛ рд╣реИ;
  5. рдПрдВрдбрдкреЙрдЗрдВрдЯ рд╕рд┐рд╕реНрдЯрдо рдореЗрдореЛрд░реА рдХреЛ рд▓рд┐рдЦрдиреЗ рдХреЗ рд▓рд┐рдП рдбрд┐рд╕реНрдХреНрд░рд┐рдкреНрдЯрд░ рдХрд╛ рдПрдХ рд╕реЗрдЯ рдкреНрд░рд╛рдкреНрдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рд╕рд┐рд╕реНрдЯрдо рдореЗрдореЛрд░реА рдХреЛ рдкрдврд╝рдиреЗ рдХрд╛ рдЕрдиреБрд░реЛрдз рднреЗрдЬрддрд╛ рд╣реИ;
  6. рдПрдВрдбрдкреЙрдЗрдВрдЯ рд╕рд┐рд╕реНрдЯрдо рдореЗрдореЛрд░реА рдХреЛ рд░рд╛рдЗрдЯ рд░рд┐рдХреНрд╡реЗрд╕реНрдЯ рднреЗрдЬрддрд╛ рд╣реИ рдФрд░ рд╕реНрдЯреЛрд░реЗрдЬ рдмрдлрд╝рд░ рдХреЛ рднрд░рддрд╛ рд╣реИ;
  7. / , , , ;
  8. PCIe.


рд╕реНрдЯреЗрдЬ рдкрд░ рдЬрдм рдбреНрд░рд╛рдЗрд╡рд░ рдПрдВрдбрдкреЙрдЗрдВрдЯ рд░рдЬрд┐рд╕реНрдЯрд░реЛрдВ рдХреЛ рдХреЙрдиреНрдлрд╝рд┐рдЧрд░ рдХрд░рддрд╛ рд╣реИ, рддреЛ BAR рд╕реЗ рдЬреБрдбрд╝реЗ рдПрдбреНрд░реЗрд╕ рд╕реНрдкреЗрд╕ рдХреЗ рдкреНрд░рдХрд╛рд░ рдХреЗ рдЖрдзрд╛рд░ рдкрд░, рдПрдВрдбрдкреЙрдЗрдВрдЯ рдХреЛ рдореЗрдореЛрд░реА (рдЪрд┐рддреНрд░ 3) рдХреЗ рд▓рд┐рдП рдПрдХ рд▓рд┐рдЦрд┐рдд рдЕрдиреБрд░реЛрдз рдпрд╛ I / O рд╕реНрдкреЗрд╕ рдХреЗ рд▓рд┐рдП рдПрдХ рд▓рд┐рдЦрд┐рдд рдЕрдиреБрд░реЛрдз рдкреНрд░рд╛рдкреНрдд рд╣реЛрдЧрд╛ред рдпрджрд┐ рдбреНрд░рд╛рдЗрд╡рд░ рд░рдЬрд┐рд╕реНрдЯрд░ рдХреЙрдиреНрдлрд╝рд┐рдЧрд░реЗрд╢рди рдХреЗ рджреМрд░рд╛рди рдПрдХ рд░рдЬрд┐рд╕реНрдЯрд░ рдкрдврд╝рддрд╛ рд╣реИ, рддреЛ рд╕рдорд╛рдкрди рдмрд┐рдВрджреБ рдХреЛ рд╕рдВрдмрдВрдзрд┐рдд рд░реАрдб рдЕрдиреБрд░реЛрдз (рдЪрд┐рддреНрд░ 4) рднреА рдкреНрд░рд╛рдкреНрдд рд╣реЛрддрд╛ рд╣реИред

рдЫрд╡рд┐
рдЪрд┐рддреНрд░рд╛ 3 - рд╕реНрдореГрддрд┐ 1 рдбреАрдбрдмреНрд▓реНрдпреВ рд▓рдВрдмреЗ рд▓рд┐рдЦрдиреЗ рдХреЗ рд▓рд┐рдП


рдПрдХ рдЕрдиреБрд░реЛрдз рдХрд╛ рдЙрджрд╛рд╣рд░рдг рдЪрд┐рддреНрд░рд╛ 4 - рд╕реНрдореГрддрд┐ 1 рдбреАрдбрдмреНрд▓реНрдпреВ рд▓рдВрдмреЗ рд╕реЗ рдкрдврд╝рдиреЗ рдХреЗ рд▓рд┐рдП рдПрдХ рдЕрдиреБрд░реЛрдз рдХрд╛ рдЙрджрд╛рд╣рд░рдг

рд▓рд┐рдЦрдиреЗ рдпрд╛ рдкрдврд╝рдиреЗ рдХреЗ рдЕрдиреБрд░реЛрдзреЛрдВ рдХреЗ рд╡рд┐рдкрд░реАрдд, I / O рдЕрдиреБрд░реЛрдзреЛрдВ рдХреА рдХрдИ рд╕реАрдорд╛рдПрдБ рд╣реИрдВред рд╕рдмрд╕реЗ рдкрд╣рд▓реЗ, рджреЛрдиреЛрдВ рд▓рд┐рдЦрдиреЗ рдФрд░ рдкрдврд╝рдиреЗ рдХреЗ рдЕрдиреБрд░реЛрдз рдХреЛ рдкреНрд░рд╛рдкреНрддрдХрд░реНрддрд╛ рд╕реЗ рдкреНрд░рддрд┐рдХреНрд░рд┐рдпрд╛ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реЛрддреА рд╣реИред рдпрд╣ рдЗрд╕ рддрдереНрдп рдХреА рдУрд░ рдЬрд╛рддрд╛ рд╣реИ рдХрд┐ I / O рд╕реНрдерд╛рди рдХреЗ рдЕрдиреБрд░реЛрдзреЛрдВ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдХреЗ рдбреЗрдЯрд╛ рдЯреНрд░рд╛рдВрд╕рдлрд░ рджрд░ рд╕реИрджреНрдзрд╛рдВрддрд┐рдХ PCIe рдмреИрдВрдбрд╡рд┐рдбреНрде рдХреА рдЕрдиреБрдорддрд┐ рджреЗрдиреЗ рдХреА рддреБрд▓рдирд╛ рдореЗрдВ рдмрд╣реБрдд рдХрдо рд╣реЛ рдЬрд╛рддреА рд╣реИред рджреВрд╕рд░реЗ, I / O рдЕрдВрддрд░рд┐рдХреНрд╖ рдЕрдиреБрд░реЛрдзреЛрдВ рдХрд╛ рдкрддрд╛ 32 рдмрд┐рдЯреНрд╕ рддрдХ рд╕реАрдорд┐рдд рд╣реИ, рдЬреЛ 4 рдЬреАрдмреА рд╕реЗ рдкрд░реЗ рд╕рд┐рд╕реНрдЯрдо рдореЗрдореЛрд░реА рдХреЗ рдЯреБрдХрдбрд╝реЗ рддрдХ рдкрд╣реБрдВрдЪ рдХреА рдЕрдиреБрдорддрд┐ рдирд╣реАрдВ рджреЗрддрд╛ рд╣реИред рддреАрд╕рд░рд╛, I / O рд╕реНрдерд╛рди рдЕрдиреБрд░реЛрдз рдПрдХ рдбрдмрд▓ рд╢рдмреНрдж рд╕реЗ рдЕрдзрд┐рдХ рдирд╣реАрдВ рд╣реЛ рд╕рдХрддрд╛ рд╣реИ рдФрд░ рдкрд░рд┐рд╡рд╣рди рдХреЗ рд▓рд┐рдП рдХрдИ рд╡рд░реНрдЪреБрдЕрд▓ рдЪреИрдирд▓реЛрдВ рдХрд╛ рдЙрдкрдпреЛрдЧ рдирд╣реАрдВ рдХрд░ рд╕рдХрддрд╛ рд╣реИред рдЗрди рдХрд╛рд░рдгреЛрдВ рд╕реЗ, I / O рд╕реНрдерд╛рди рдкрд░ рд▓рд┐рдЦрдиреЗ рдФрд░ рдкрдврд╝рдиреЗ рдХреЗ рдЕрдиреБрд░реЛрдзреЛрдВ рдкрд░ рдЖрдЧреЗ рд╡рд┐рдЪрд╛рд░ рдирд╣реАрдВ рдХрд┐рдпрд╛ рдЬрд╛рдПрдЧрд╛ред рдлрд┐рд░ рднреА,рд▓рд┐рдЦрдиреЗ / рдкрдврд╝рдиреЗ рдФрд░ рдореЗрдореЛрд░реА / I / O рд╕реНрдкреЗрд╕ рдХреЗ рд▓рд┐рдП рд╣реЗрдбрд░ рдХреА рд╕рд╛рдордЧреНрд░реА рдХреЗрд╡рд▓ рдХрдИ рдХреНрд╖реЗрддреНрд░реЛрдВ рдореЗрдВ рднрд┐рдиреНрди рд╣реЛрддреА рд╣реИ, рдЗрд╕рд▓рд┐рдП, рдЖрдВрдХрдбрд╝реЗ 3 рдФрд░ 4 рдореЗрдВ рджрд┐рдЦрд╛рдП рдЧрдП рдкреИрдХреЗрдЯ рд╕рдВрд░рдЪрдирд╛рдПрдВ I / O рд╕реНрдерд╛рди рдХреЗ рдЕрдиреБрд░реЛрдзреЛрдВ рдкрд░ рднреА рд▓рд╛рдЧреВ рд╣реЛрддреА рд╣реИрдВред

рдЬрдм рдХреЛрдИ рд╕рдорд╛рдкрди рдмрд┐рдВрджреБ рдпрд╛ PCIe рд░реВрдЯ рдХреЛ рдореЗрдореЛрд░реА рдпрд╛ I / O рд╕реНрдкреЗрд╕ рдкрдврд╝рдиреЗ рдХрд╛ рдЕрдиреБрд░реЛрдз рдорд┐рд▓рддрд╛ рд╣реИ, рддреЛ рдбрд┐рд╡рд╛рдЗрд╕ рдХреЛ рдПрдХ рдкреНрд░рддрд┐рдХреНрд░рд┐рдпрд╛ рднреЗрдЬрдиреА рд╣реЛрдЧреАред рдпрджрд┐ рдЕрдиреБрд░реЛрдз рдХреЗ рдкреНрд░реЗрд╖рдХ рдХреЛ рдПрдХ рдирд┐рд╢реНрдЪрд┐рдд рд╕рдордп рдХреЗ рднреАрддрд░ рдкреНрд░рддрд┐рдХреНрд░рд┐рдпрд╛ рдирд╣реАрдВ рдорд┐рд▓рддреА рд╣реИ, рддреЛ рдЗрд╕рд╕реЗ рдкреНрд░рддрд┐рдХреНрд░рд┐рдпрд╛ рдХреА рдкреНрд░рддреАрдХреНрд╖рд╛ рдореЗрдВ рддреНрд░реБрдЯрд┐ рд╣реЛрдЧреАред рдпрджрд┐ рдХрд┐рд╕реА рдХрд╛рд░рдг рд╕реЗ рдбрд┐рд╡рд╛рдЗрд╕ рдЕрдиреБрд░реЛрдзрд┐рдд рдбреЗрдЯрд╛ рдирд╣реАрдВ рднреЗрдЬ рд╕рдХрддрд╛ рд╣реИ, рддреЛ рдЙрд╕реЗ рдПрдХ рддреНрд░реБрдЯрд┐ рдкреНрд░рддрд┐рдХреНрд░рд┐рдпрд╛ рдЙрддреНрдкрдиреНрди рдХрд░рдиреА рдЪрд╛рд╣рд┐рдПред рд╕рдВрднрд╛рд╡рд┐рдд рдХрд╛рд░рдг рд╣реЛ рд╕рдХрддреЗ рд╣реИрдВ: рдкреНрд░рд╛рдкреНрддрдХрд░реНрддрд╛ рдЗрд╕ рдЕрдиреБрд░реЛрдз (рдЕрд╕рдорд░реНрдерд┐рдд рдЕрдиреБрд░реЛрдз) рдХрд╛ рд╕рдорд░реНрдерди рдирд╣реАрдВ рдХрд░рддрд╛ рд╣реИ; рдкреНрд░рд╛рдкреНрддрдХрд░реНрддрд╛ рдХреЙрдиреНрдлрд╝рд┐рдЧрд░реЗрд╢рди рдЕрдиреБрд░реЛрдз рдФрд░ рдЗрд╕реЗ рдмрд╛рдж рдореЗрдВ рджреЛрд╣рд░рд╛рдиреЗ рдХреЗ рд▓рд┐рдП рдЕрдиреБрд░реЛрдз рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рддреИрдпрд╛рд░ рдирд╣реАрдВ рд╣реИ (рдХреЙрдиреНрдлрд╝рд┐рдЧрд░реЗрд╢рди рдЕрдиреБрд░реЛрдз рдкреБрдирд░реНрдкреНрд░рд╛рдкреНрддрд┐ рд╕реНрдерд┐рддрд┐), рдПрдХ рдЖрдВрддрд░рд┐рдХ рддреНрд░реБрдЯрд┐ рд╣реБрдИ рд╣реИ, рдЬрд┐рд╕рдХреЗ рдХрд╛рд░рдг рдкреНрд░рд╛рдкреНрддрдХрд░реНрддрд╛ рдЕрдиреБрд░реЛрдз рдХрд╛ рдЬрд╡рд╛рдм рдирд╣реАрдВ рджреЗ рд╕рдХрддрд╛ рд╣реИ рдФрд░ (рдХрдВрдкреНрд▓реАрдЯ рдПрдмреЙрд░реНрдЯ) рдХреЛ рдЕрд╕реНрд╡реАрдХрд╛рд░ рдХрд░ рд╕рдХрддрд╛ рд╣реИред

рд░реАрдб рд░рд┐рдХреНрд╡реЗрд╕реНрдЯ рдХреЗ рд▓рд┐рдП рдПрдХ рд╕рдлрд▓ рдкреНрд░рддрд┐рдХреНрд░рд┐рдпрд╛ рдФрд░ рдПрдХ рдЕрд╕рдорд░реНрдерд┐рдд рдЕрдиреБрд░реЛрдз рдХреЗ рд▓рд┐рдП рдПрдХ рддреНрд░реБрдЯрд┐ рдкреНрд░рддрд┐рдХреНрд░рд┐рдпрд╛ рдХреЗ рд▓рд┐рдП рдкреНрд░рд╛рд░реВрдк 5, 6 рдореЗрдВ рджрд┐рдЦрд╛рдП рдЧрдП рд╣реИрдВред


рдЪрд┐рддреНрд░ 5 - рдкрдврд╝рдиреЗ рдХреЗ рд▓рд┐рдП рдПрдХ рд╕рдлрд▓ рдкреНрд░рддрд┐рдХреНрд░рд┐рдпрд╛ рдХрд╛ рдЙрджрд╛рд╣рд░рдг рдЪрд┐рддреНрд░рд╛ 6 -


рдПрдХ рдЕрд╕рдорд░реНрдерд┐рдд рдЕрдиреБрд░реЛрдз рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдПрдХ рдкреНрд░рддрд┐рдХреНрд░рд┐рдпрд╛ рдХрд╛ рдЙрджрд╛рд╣рд░рдг

рдЬрдмрдХрд┐ рд╕рдорд╛рдкрди рдмрд┐рдВрджреБ 4 рдЬреАрдмреА рдХреЗ рднреАрддрд░ рдПрдХ рдореЗрдореЛрд░реА рдХреНрд╖реЗрддреНрд░ рддрдХ рдкрд╣реБрдВрдЪ рд░рд╣рд╛ рд╣реИ, рдкреИрдХреЗрдЯ рд╣реЗрдбрд░ рдХрд╛ рдкреНрд░рд╛рд░реВрдк рдЖрдВрдХрдбрд╝реЗ 3 рдореЗрдВ рджрд┐рдЦрд╛рдП рдЧрдП рд╣реЗрдбрд░ рд╕реЗ рдЕрд▓рдЧ рдирд╣реАрдВ рд╣реИ, 4. рд▓рд┐рдЦрдиреЗ рдХреЗ рдЕрдиреБрд░реЛрдзреЛрдВ рдХреЗ рд▓рд┐рдП рдпрд╛ 4 рдЬреАрдмреА рд╕реЗ рдкрд░реЗ рдореЗрдореЛрд░реА рдкрдврд╝рдирд╛, рдбреЗрд╕реНрдЯрд┐рдиреЗрд╢рди рдПрдбреНрд░реЗрд╕ рдХреЗ рд╣рд╛рдИ рдСрд░реНрдбрд░ рдмрд┐рдЯреНрд╕ рдХреЗ рд╕рд╛рде рдПрдХ рдЕрддрд┐рд░рд┐рдХреНрдд рдбрдмрд▓ рд╢рдмреНрдж рд╣реЗрдбрд░ (рдЪрд┐рддреНрд░ 7) рдореЗрдВ рдЙрдкрдпреЛрдЧ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред


рдЪрд┐рддреНрд░ 7 - 128 рдмрд╛рдЗрдЯ рд▓рд┐рдЦрдиреЗ рдХреЗ рдЕрдиреБрд░реЛрдз рд╢реАрд░реНрд╖рд▓реЗрдЦ рдХрд╛ рдПрдХ рдЙрджрд╛рд╣рд░рдгред

рдкреИрдХреЗрдЯ рд╣реИрдбрд░ рдлрд╝реАрд▓реНрдб рдХреЗ рд╕рдВрдХреНрд╖рд┐рдкреНрдд рдирд╛рдореЛрдВ рдХрд╛ рд╡рд┐рд╡рд░рдг рддрд╛рд▓рд┐рдХрд╛ 4 рдореЗрдВ рдкреНрд░рд╕реНрддреБрдд рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИред

рддрд╛рд▓рд┐рдХрд╛ 4 - рд╢реАрд░реНрд╖ рд▓реЗрдЦ рдлрд╝реАрд▓реНрдб рдХреЗ рд▓рд┐рдП рд╕рдВрдХреНрд╖рд┐рдкреНрдд рд╕реВрдЪреА
рдирд╣реАрдВред рдкреАредрдХреНрд╖реЗрддреНрд░ рдкрджрдирд╛рдордХреНрд╖реЗрддреНрд░ рдХрд╛ рдирд╛рдордирд┐рдпреБрдХреНрддрд┐
1рдЯреАрд╕реАрдпрд╛рддрд╛рдпрд╛рдд рд╢реНрд░реЗрдгреА - рдпрд╛рддрд╛рдпрд╛рдд рд╡рд░реНрдЧрд╡рд░реНрдЪреБрдЕрд▓ рдЪреИрдирд▓ рд╕рджрд╕реНрдпрддрд╛ рдХреЛ рдкрд░рд┐рднрд╛рд╖рд┐рдд рдХрд░рддрд╛ рд╣реИ
2рдПрдЯреАрдЖрд░рдЧреБрдг: , , ID, ID.
3THтАТ TLP Processing Hint, [1..0] .
4TDтАТ TLP Digest, .
5EP, .
6ATтАТ Address Translation, : , ,
7BEтАТ Byte Enable
8PHрдкреИрдХреЗрдЬ рдкреНрд░рд╕рдВрд╕реНрдХрд░рдг рд╕рдВрдХреЗрдд - рдкреНрд░рд╕рдВрд╕реНрдХрд░рдг рд╕рдВрдХреЗрддрдкреИрдХреЗрдЬ рдкреНрд░рд╛рдкреНрддрдХрд░реНрддрд╛ рдХреЛ рд╕реБрдЭрд╛рд╡ рджреЗрддрд╛ рд╣реИ рдХрд┐ рдкреИрдХреЗрдЬ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХреИрд╕реЗ рдХрд┐рдпрд╛ рдЬрд╛рдирд╛ рдЪрд╛рд╣рд┐рдП, рд╕рд╛рде рд╣реА рдбреЗрдЯрд╛ рд╕рдВрд░рдЪрдирд╛ рднреА
9рдмреАрд╕реАрдПрдордмрд╛рдЗрдЯреНрд╕ рдХреА рд╕рдВрдЦреНрдпрд╛ рдореЗрдВ рдмрджрд▓рд╛рд╡ рдХреА рдЙрдкрд╕реНрдерд┐рддрд┐рдЗрдВрдЧрд┐рдд рдХрд░рддрд╛ рд╣реИ рдХрд┐ рдкреИрдХреЗрдЯ рдореЗрдВ рдмрд╛рдЗрдЯреНрд╕ рдХреА рд╕рдВрдЦреНрдпрд╛ рдмрджрд▓ рдЧрдИ рд╣реИ рдпрд╛ рдирд╣реАрдВред рдкреАрд╕реАрдЖрдИ-рдПрдХреНрд╕ рдбрд┐рд╡рд╛рдЗрд╕ рдХреЗ рдЪреЗрд╣рд░реЗ рдкрд░ рдХреЗрд╡рд▓ рдПрдХ рдкреНрд░реЗрд╖рдХ рдПрдХ рдзреНрд╡рдЬ рд╕реЗрдЯ рдХрд░ рд╕рдХрддрд╛ рд╣реИ

рдпрджрд┐ рдХреЛрдИ рд╕рдорд╛рдкрди рдмрд┐рдВрджреБ рдХрд┐рд╕реА рдШрдЯрдирд╛ рдХреА рд░рд┐рдкреЛрд░реНрдЯ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдЗрдВрдЯрд░рдкреНрдЯ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддрд╛ рд╣реИ, рддреЛ рдЙрд╕реЗ рдПрдХ рдЙрдкрдпреБрдХреНрдд рдкреИрдХреЗрдЯ рднреА рдмрдирд╛рдирд╛ рд╣реЛрдЧрд╛ред рдХреБрд▓ рдорд┐рд▓рд╛рдХрд░, PCIe рддреАрди рдкреНрд░рдХрд╛рд░ рдХреЗ рд╡реНрдпрд╡рдзрд╛рдиреЛрдВ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░ рд╕рдХрддрд╛ рд╣реИ:

  • рд╡рд┐рд░рд╛рд╕рдд рдореЗрдВ рдмрд╛рдзрд╛ рдЖрддреА рд╣реИ (рд▓рд┐рдЧреЗрд╕реА рдЗрдВрдЯрд░рдкреНрдЯ рдпрд╛ рдЗрдВрдЯ);
  • рд╕рдВрджреЗрд╢реЛрдВ рдХреЗ рд░реВрдк рдореЗрдВ рд░реБрдХрд╛рд╡рдЯреЗрдВ (рдореИрд╕реЗрдЬ рд╕рд┐рдЧреНрдирд▓реЗрдб рдЗрдВрдЯрд░рдкреНрдЯ рдпрд╛ рдПрдордПрд╕рдЖрдИ);
  • рд╡рд┐рд╕реНрддрд╛рд░рд┐рдд рд╕рдВрджреЗрд╢ рд╡реНрдпрд╡рдзрд╛рди (рд╕рдВрджреЗрд╢ рд╕рдВрдХреЗрддрд┐рдд рд╡реНрдпрд╡рдзрд╛рди рд╡рд┐рд╕реНрддрд╛рд░рд┐рдд рдпрд╛ MSI-X)ред

рдЗрдирд╣реЗрд░рд┐рдЯ рдХрд┐рдП рдЧрдП INT рдЗрдВрдЯрд░рдкреНрдЯ рдХреЛ рд╕рд┐рд╕реНрдЯрдо рдХреЗ рд╕рд╛рде рд╕рдВрдЧрддрддрд╛ рдХреЗ рд▓рд┐рдП рдЙрдкрдпреЛрдЧ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ рдЬреЛ рд╕рдВрджреЗрд╢ рдЗрдВрдЯрд░рдкреНрдЯ рдХрд╛ рд╕рдорд░реНрдерди рдирд╣реАрдВ рдХрд░рддреЗ рд╣реИрдВред рд╡рд╛рд╕реНрддрд╡ рдореЗрдВ, рдЗрд╕ рдкреНрд░рдХрд╛рд░ рдХреА рд░реБрдХрд╛рд╡рдЯ рдПрдХ рд╕рдВрджреЗрд╢ (рдкреНрд░рдХрд╛рд░ рд╕рдВрджреЗрд╢ рдХрд╛ рдПрдХ рдкреИрдХреЗрдЯ) рд╣реИ рдЬреЛ рдПрдХ рднреМрддрд┐рдХ рдмрд╛рдзрд╛ рд░реЗрдЦрд╛ рдХреЗ рд╕рдВрдЪрд╛рд▓рди рдХрд╛ рдЕрдиреБрдХрд░рдг рдХрд░рддрд╛ рд╣реИред рдПрдХ рдирд┐рд░реНрджрд┐рд╖реНрдЯ рдШрдЯрдирд╛ рдкрд░, рд╕рдорд╛рдкрди рдмрд┐рдВрджреБ PCIe рд░реВрдЯ рдХреЛ рдПрдХ рд╕рдВрджреЗрд╢ рднреЗрдЬрддрд╛ рд╣реИ рдХрд┐ INT рд╡реНрдпрд╡рдзрд╛рди рдХреЛ рд╕рдХреНрд░рд┐рдп рдХрд░ рджрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИ, рдФрд░ рдлрд┐рд░ рдмрд╛рдзрд╛ рд╣реИрдВрдбрд▓рд░ рд╕реЗ рдХрд╛рд░реНрд░рд╡рд╛рдИ рдХреА рдкреНрд░рддреАрдХреНрд╖рд╛ рдХрд░рддрд╛ рд╣реИред рдЬрдм рддрдХ рдЕрдВрддрдГрдХреНрд░рд┐рдпрд╛ рд╣реИрдВрдбрд▓рд░ рдирд┐рд░реНрджрд┐рд╖реНрдЯ рдХреНрд░рд┐рдпрд╛ рдХрд░рддрд╛ рд╣реИ, рддрдм рддрдХ INT рд╡реНрдпрд╡рдзрд╛рди рд╕рдХреНрд░рд┐рдп рдЕрд╡рд╕реНрдерд╛ рдореЗрдВ рд╣реЛрддрд╛ рд╣реИред рдЕрдВрддрд░реНрдирд┐рд╣рд┐рдд рд░реБрдХрд╛рд╡рдЯ рдЖрдкрдХреЛ рдШрдЯрдирд╛ рдХреЗ рд╕реНрд░реЛрдд рдХреЛ рдирд┐рд░реНрдзрд╛рд░рд┐рдд рдХрд░рдиреЗ рдХреА рдЕрдиреБрдорддрд┐ рдирд╣реАрдВ рджреЗрддрд╛ рд╣реИ, рдЬреЛ рдЗрд╕ рд░реБрдХрд╛рд╡рдЯ рдХреЛ рдкреВрд░рд╛ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП PCIe рдкреЗрдбрд╝ рдХреЗ рд╕рднреА рд╕рдорд╛рдкрди рдмрд┐рдВрджреБрдУрдВ рдХреЛ рдХреНрд░рдорд┐рдХ рд░реВрдк рд╕реЗ рд╕реНрдХреИрди рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдЗрдВрдЯрд░рдкреНрдЯ рд╣реИрдВрдбрд▓рд░ рдХреЛ рдмрд╛рдзреНрдп рдХрд░рддрд╛ рд╣реИред рдЬрдм рдмрд╛рдзрд╛ рдХреЛ рд╕реЗрд╡рд┐рдд рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рддреЛ рд╕рдорд╛рдкрди рдмрд┐рдВрджреБ рдПрдХ рд╕рдВрджреЗрд╢ рднреЗрдЬрддрд╛ рд╣реИ рдЬреЛ рдмрддрд╛рддрд╛ рд╣реИрдХрд┐ INT рд╡реНрдпрд╡рдзрд╛рди рдЕрдзрд┐рдХ рдирд┐рд╖реНрдХреНрд░рд┐рдп рд╣реИред рдЙрдкрдпреЛрдЧрдХрд░реНрддрд╛ рддрд░реНрдХ рд╕реЗ рд╕рдВрдХреЗрдд рдкрд░ FPGA рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рдХреЛрд░, рд╕реНрд╡рддрдВрддреНрд░ рд░реВрдк рд╕реЗ INT рдЗрдВрдЯрд░рдкреНрдЯ рдХреЗ рд▓рд┐рдП рдЖрд╡рд╢реНрдпрдХ рд╕рдВрджреЗрд╢ рдЙрддреНрдкрдиреНрди рдХрд░рддрд╛ рд╣реИ, рдЗрд╕рд▓рд┐рдП рдкреИрдХреЗрдЯ рд╕рдВрд░рдЪрдирд╛ рдкрд░ рд╡рд┐рдЪрд╛рд░ рдирд╣реАрдВ рдХрд┐рдпрд╛ рдЬрд╛рдПрдЧрд╛ред

рд╕рдВрджреЗрд╢ рдЙрдирдХреЗ рд╡рд┐рд╕реНрддрд╛рд░рд┐рдд рд╕рдВрд╕реНрдХрд░рдг рдХреЗ рд╕рд╛рде-рд╕рд╛рде рдкреАрд╕реАрдЖрдИ рдореЗрдВ рдмрд╛рдзрд╛ рдХрд╛ рдореБрдЦреНрдп рдФрд░ рдЕрдирд┐рд╡рд╛рд░реНрдп рдкреНрд░рдХрд╛рд░ рд╣реИред рджреЛрдиреЛрдВ рдкреНрд░рдХрд╛рд░ рдХреЗ рд╡реНрдпрд╡рдзрд╛рди, рд╡рд╛рд╕реНрддрд╡ рдореЗрдВ, рд╕рд┐рд╕реНрдЯрдо рдореЗрдореЛрд░реА рдХреЛ рдПрдХ рдбрдмрд▓ рд╢рдмреНрдж рдХреА рд▓рдВрдмрд╛рдИ рдХреЗ рд╕рд╛рде рд▓рд┐рдЦрдиреЗ рдХрд╛ рдЕрдиреБрд░реЛрдз рд╣реИред рдПрдХ рдирд┐рдпрдорд┐рдд рдЕрдиреБрд░реЛрдз рд╕реЗ рдЕрдВрддрд░ рдпрд╣ рд╣реИ рдХрд┐ рд╕рд┐рд╕реНрдЯрдо рдХреЙрдиреНрдлрд╝рд┐рдЧрд░реЗрд╢рди рдХреЗ рдЪрд░рдг рдореЗрдВ рдкреНрд░рддреНрдпреЗрдХ рдбрд┐рд╡рд╛рдЗрд╕ рдХреЗ рд▓рд┐рдП рд░рд┐рдХреЙрд░реНрдбрд┐рдВрдЧ рдкрддрд╛ рдФрд░ рдкреИрдХреЗрдЬ рд╕рд╛рдордЧреНрд░реА рдЖрд╡рдВрдЯрд┐рдд рдХреА рдЬрд╛рддреА рд╣реИред рдЗрд╕ рд╕реНрдерд┐рддрд┐ рдореЗрдВ, рдХреЗрдВрджреНрд░реАрдп рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЗ рдЕрдВрджрд░ рд╕реНрдерд╛рдиреАрдп рдЙрдиреНрдирдд рдкреНрд░реЛрдЧреНрд░рд╛рдореЗрдмрд▓ рдЗрдВрдЯрд░рдкреНрд░реЗрдиреНрдпреЛрд░ рдХрдВрдЯреНрд░реЛрд▓рд░ (LAPIC) рдЧрдВрддрд╡реНрдп рдмрди рдЬрд╛рддрд╛ рд╣реИред рдЗрд╕ рдкреНрд░рдХрд╛рд░ рдХреА рд░реБрдХрд╛рд╡рдЯ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддреЗ рд╕рдордп, PCIe рдкреЗрдбрд╝ рдореЗрдВ рд╕рднреА рдЙрдкрдХрд░рдгреЛрдВ рдХреЛ рдХреНрд░рдорд┐рдХ рд░реВрдк рд╕реЗ рдкреНрд░рджреВрд╖рд┐рдд рдХрд░рдирд╛ рдЖрд╡рд╢реНрдпрдХ рдирд╣реАрдВ рд╣реИред рдЗрд╕рдХреЗ рдЕрд▓рд╛рд╡рд╛, рдпрджрд┐ рд╕рд┐рд╕реНрдЯрдо рдбрд┐рд╡рд╛рдЗрд╕ рдХреЛ рдХрдИ рд░реБрдХрд╛рд╡рдЯ рд╡рд╛рд▓реЗ рд╡реИрдХреНрдЯрд░ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдиреЗ рдХреА рдЕрдиреБрдорддрд┐ рджреЗрддрд╛ рд╣реИ, рддреЛ рдкреНрд░рддреНрдпреЗрдХ рд╡реЗрдХреНрдЯрд░ рдЕрдкрдиреЗ рд╕реНрд╡рдпрдВ рдХреЗ рдШрдЯрдирд╛ рд╕реЗ рдЬреБрдбрд╝рд╛ рд╣реЛ рд╕рдХрддрд╛ рд╣реИредрд╕рд╛рде рдореЗрдВ, рдпрд╣ рдкреНрд░рд╕рдВрд╕реНрдХрд░рдг рдХреЗ рд╕рдордп рдХреЗ рд▓рд┐рдП рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЗ рд╕рдордп рдХреЛ рдХрдо рдХрд░ рджреЗрддрд╛ рд╣реИ рдФрд░ рд╕рдордЧреНрд░ рдкреНрд░рдгрд╛рд▓реА рдХреЗ рдкреНрд░рджрд░реНрд╢рди рдХреЛ рдмрдврд╝рд╛рддрд╛ рд╣реИред

рдПрдордПрд╕рдЖрдИ рд╡реНрдпрд╡рдзрд╛рди 32 рдЕрд▓рдЧ рд╡реИрдХреНрдЯрд░реЛрдВ рдХреЗ рдЧрдарди рдХреА рдЕрдиреБрдорддрд┐ рджреЗрддрд╛ рд╣реИред рд╕рдЯреАрдХ рд╕рдВрдЦреНрдпрд╛ рд╕рдорд╛рдкрди рдмрд┐рдВрджреБ рдХреА рдХреНрд╖рдорддрд╛рдУрдВ рдкрд░ рдирд┐рд░реНрднрд░ рдХрд░рддреА рд╣реИред рдЗрд╕ рдорд╛рдорд▓реЗ рдореЗрдВ, рд╕рд┐рд╕реНрдЯрдо рд╡реИрдХреНрдЯрд░ рдХреЗ рдХреЗрд╡рд▓ рднрд╛рдЧ рдХреЗ рдЙрдкрдпреЛрдЧ рдХреА рдЕрдиреБрдорддрд┐ рджреЗ рд╕рдХрддрд╛ рд╣реИред рдХреЙрдиреНрдлрд╝рд┐рдЧрд░реЗрд╢рди рдЪрд░рдг рдореЗрдВ, рд╕рд┐рд╕реНрдЯрдо рдЕрдВрддрдГ рдмрд┐рдВрджреБ рдХреЗ рдХреЙрдиреНрдлрд╝рд┐рдЧрд░реЗрд╢рди рд╕реНрдерд╛рди рдХреЗ рд╡рд┐рд╢реЗрд╖ рд░рдЬрд┐рд╕реНрдЯрд░реЛрдВ рдХреЛ рд▓рд┐рдЦрдиреЗ рдХреЗ рд▓рд┐рдП рд░реБрдХрд╛рд╡рдЯ рдкрддрд╛ рдФрд░ рдкреНрд░рд╛рд░рдВрднрд┐рдХ рдбреЗрдЯрд╛ рд▓рд┐рдЦрддрд╛ рд╣реИред рд╕рднреА рд╕рдХреНрд░рд┐рдп рд╡реНрдпрд╡рдзрд╛рди рдПрдХ рд╣реА рдкрддреЗ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддреЗ рд╣реИрдВред рд▓реЗрдХрд┐рди рдкреНрд░рддреНрдпреЗрдХ рд╡реЗрдХреНрдЯрд░ рдХреЗ рд▓рд┐рдП, рд╕рдорд╛рдкрди рдмрд┐рдВрджреБ рдкреНрд░рд╛рд░рдВрднрд┐рдХ рдбреЗрдЯрд╛ рдХреЗ рдмрд┐рдЯреНрд╕ рдХреЛ рдмрджрд▓рддрд╛ рд╣реИред рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, рдПрдХ рдПрдВрдбрдкреЙрдЗрдВрдЯ рдХреЛ рдЕрдзрд┐рдХрддрдо 4 рдЗрдВрдЯрд░рдкреНрдЯ рд╡реИрдХреНрдЯрд░ рдХрд╛ рд╕рдорд░реНрдерди рдХрд░рдиреЗ рджреЗрдВ, рд╕рд┐рд╕реНрдЯрдо рдореЗрдВ рд╕рднреА 4 рд╡реИрдХреНрдЯрд░ рдХреА рдЕрдиреБрдорддрд┐ рд╣реИ, рдФрд░ рд▓рд┐рдЦрдиреЗ рдХреЗ рд▓рд┐рдП рдкреНрд░рд╛рд░рдВрднрд┐рдХ рдбреЗрдЯрд╛ 0x4970 рд╣реИред рдлрд┐рд░, рдкрд╣рд▓рд╛ рд╡реЗрдХреНрдЯрд░ рдмрдирд╛рдиреЗ рдХреЗ рд▓рд┐рдП, рд╕рдорд╛рдкрди рдмрд┐рдВрджреБ рдкреНрд░рд╛рд░рдВрднрд┐рдХ рдбреЗрдЯрд╛ рдХреЛ рдЕрдкрд░рд┐рд╡рд░реНрддрд┐рдд рдХрд░рддрд╛ рд╣реИред рджреВрд╕рд░реЗ рд╡реЗрдХреНрдЯрд░ рдХреЗ рд▓рд┐рдП, рдбрд┐рд╡рд╛рдЗрд╕ рдкрд╣рд▓реЗ рдмрд┐рдЯ рдХреЛ рдмрджрд▓рддрд╛ рд╣реИ рдФрд░ 0x4971 рдирдВрдмрд░ рдХреЛ рдкреНрд░рд╕рд╛рд░рд┐рдд рдХрд░рддрд╛ рд╣реИредрддреАрд╕рд░реЗ рдФрд░ рдЪреМрдереЗ рд╡реИрдХреНрдЯрд░ рдХреЗ рд▓рд┐рдП, рдбрд┐рд╡рд╛рдЗрд╕ рдХреНрд░рдорд╢рдГ 0x4972 рдФрд░ 0x4973 рд╕рдВрдЦреНрдпрд╛рдУрдВ рдХреЛ рдкреНрд░рд╕рд╛рд░рд┐рдд рдХрд░реЗрдЧрд╛ред

FPGA рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рдХреЛрд░ рд╕реНрд╡рддрдВрддреНрд░ рд░реВрдк рд╕реЗ рдЙрдкрдпреЛрдЧрдХрд░реНрддрд╛ рддрд░реНрдХ рд╕реЗ рдПрдХ рд╕рдВрдХреЗрдд рджреНрд╡рд╛рд░рд╛ MSI рдмрд╛рдзрд╛ рдХреЗ рд╕рд╛рде рдПрдХ рдкреИрдХреЗрдЯ рдмрдирд╛рддреЗ рд╣реИрдВред рд╣рд╛рд▓рд╛рдВрдХрд┐, рдХрд░реНрдиреЗрд▓ рдХреЛ рдПрдХ рдмрд╛рдзрд╛ рднреЗрдЬрдиреЗ рдХреЗ рд▓рд┐рдП рдХрдорд╛рдВрдб рдХрд░рдиреЗ рд╕реЗ рдкрд╣рд▓реЗ, рдЙрдкрдпреЛрдЧрдХрд░реНрддрд╛ рддрд░реНрдХ рдХреЛ рдПрдХ рд╡рд┐рд╢реЗрд╖ рдХрд░реНрдиреЗрд▓ рдЗрдВрдЯрд░рдлрд╝реЗрд╕ рдХреЗ рд▓рд┐рдП рдЖрд╡рд╢реНрдпрдХ рд╡реЗрдХреНрдЯрд░ рдХреЗ рд▓рд┐рдП рдкреИрдХреЗрдЯ рдХреА рд╕рд╛рдордЧреНрд░реА рднреА рдкреНрд░рджрд╛рди рдХрд░рдиреА рд╣реЛрдЧреАред

MSI-X рд╡реНрдпрд╡рдзрд╛рди 2048 рд╡реНрдпрдХреНрддрд┐рдЧрдд рд╡реИрдХреНрдЯрд░ рдХреЛ рдмрдирд╛рдиреЗ рдХреА рдЕрдиреБрдорддрд┐ рджреЗрддрд╛ рд╣реИред рдХреЙрдиреНрдлрд╝рд┐рдЧрд░реЗрд╢рди рд╕реНрдерд╛рди рдХреЗ рд╕рдВрдЧрдд рд░рдЬрд┐рд╕реНрдЯрд░реЛрдВ рдореЗрдВ, рдПрдВрдбрдкреЙрдЗрдВрдЯ рдЗрдВрдЧрд┐рдд рдХрд░рддрд╛ рд╣реИ рдХрд┐ рдХреМрди рд╕реЗ BAR рдПрдбреНрд░реЗрд╕ рд╕реНрдкреЗрд╕ рд╣реИрдВ рдФрд░ рдмреЗрд╕ рдПрдбреНрд░реЗрд╕ рд╕реЗ рдХрд┐рд╕ рдСрдлрд╕реЗрдЯ рдХреЗ рд╕рд╛рде рдЗрдВрдЯрд░рдкреНрдЯ рдЯреЗрдмрд▓ (рдЪрд┐рддреНрд░ 8) рдФрд░ рд▓рдВрдмрд┐рдд рдЗрдВрдЯрд░рдкреНрдЯ рдлреНрд▓реИрдЧ рдХреА рддрд╛рд▓рд┐рдХрд╛ (Pending Bit Array - PBA, рдЪрд┐рддреНрд░ 9) рд╕реНрдерд┐рдд рд╣реИ, рд╕рд╛рде рд╣реА рджреЛрдиреЛрдВ рдХреЗ рдЖрдХрд╛рд░ рднреАред рдЯреЗрдмрд▓ред рд╕рд┐рд╕реНрдЯрдо рд░реБрдХрд╛рд╡рдЯ рддрд╛рд▓рд┐рдХрд╛ рдХреА рдкреНрд░рддреНрдпреЗрдХ рдкрдВрдХреНрддрд┐ рдХреЛ рд▓рд┐рдЦрдиреЗ рдХреЗ рд▓рд┐рдП рдПрдХ рдЕрд▓рдЧ рдкрддрд╛ рдФрд░ рдбреЗрдЯрд╛ рд▓рд┐рдЦрддрд╛ рд╣реИ, рдФрд░ рд╡реЗрдХреНрдЯрд░ рдирд┐рдпрдВрддреНрд░рдг рдХреНрд╖реЗрддреНрд░ рдХреЗ рдкрд╣рд▓реЗ рдмрд┐рдЯ рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ рдПрдХ рд╡рд┐рд╢рд┐рд╖реНрдЯ рд╡реЗрдХреНрдЯрд░ рдХреЗ рдЙрдкрдпреЛрдЧ рдХреА рдЕрдиреБрдорддрд┐ рднреА рджреЗрддрд╛ рд╣реИ рдпрд╛ рдкреНрд░рддрд┐рдмрдВрдзрд┐рдд рдХрд░рддрд╛ рд╣реИред рдХрд┐рд╕реА рджрд┐рдП рдЧрдП рдИрд╡реЗрдВрдЯ рдХреЗ рд▓рд┐рдП, рд╕рдорд╛рдкрди рдмрд┐рдВрджреБ рд▓рдВрдмрд┐рдд рдЕрдВрддрд░рд╛рд▓ рдХреА рдзреНрд╡рдЬ рддрд╛рд▓рд┐рдХрд╛ рдореЗрдВ рдПрдХ рдзреНрд╡рдЬ рд╕реЗрдЯ рдХрд░рддрд╛ рд╣реИред рдпрджрд┐ рд╡реЗрдХреНрдЯрд░ рдирд┐рдпрдВрддреНрд░рдг рдХреНрд╖реЗрддреНрд░ рдореЗрдВ рдЗрд╕ рд░реБрдХрд╛рд╡рдЯ рдХреЗ рд▓рд┐рдП рдХреЛрдИ рдореБрдЦреМрдЯрд╛ рд╕реЗрдЯ рдирд╣реАрдВ рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИ, рддреЛ рд╕рдорд╛рдкрди рдмрд┐рдВрджреБ рдкреИрдХреЗрдЯ рдХреА рдирд┐рд░реНрджрд┐рд╖реНрдЯ рд╕рд╛рдордЧреНрд░реА рдХреЗ рд╕рд╛рде рдЕрдВрддрд░рд╛рдпрди рддрд╛рд▓рд┐рдХрд╛ рд╕реЗ рдкрддреЗ рдкрд░ рдПрдХ рдмрд╛рдзрд╛ рднреЗрдЬрддрд╛ рд╣реИред


рдЪрд┐рддреНрд░ 8 - MSI-X рдЗрдВрдЯрд░рдкреНрдЯ рд╡реИрдХреНрдЯрд░ рдХреА


рддрд╛рд▓рд┐рдХрд╛ рдЪрд┐рддреНрд░ 9 - рд▓рдВрдмрд┐рдд рд╡реНрдпрд╡рдзрд╛рди рдХреЗ рд▓рд┐рдП рдЭрдВрдбреЗ рдХреА рддрд╛рд▓рд┐рдХрд╛

FPGA рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рдХреЛрд░ рдореЗрдВ MSI-X рдЗрдВрдЯрд░рдкреНрдЯ рдХреЗ рд▓рд┐рдП рдПрдХ рд╡рд┐рд╢реЗрд╖ рдЗрдВрдЯрд░рдлрд╝реЗрд╕ рдирд╣реАрдВ рд╣реИред рдбреЗрд╡рд▓рдкрд░ рдХреЛ рд╕реНрд╡рдпрдВ рдЙрдкрдпреЛрдЧрдХрд░реНрддрд╛ рддрд░реНрдХ рдореЗрдВ рдПрдХ рд░реБрдХрд╛рд╡рдЯ рддрд╛рд▓рд┐рдХрд╛ рдФрд░ рд▓рдВрдмрд┐рдд рд╡реНрдпрд╡рдзрд╛рдиреЛрдВ рдХреЗ рдЭрдВрдбреЗ рдХреА рдПрдХ рддрд╛рд▓рд┐рдХрд╛ рдмрдирд╛рдиреА рд╣реЛрдЧреАред рдПрдХ рдЗрдВрдЯрд░рдкреНрдЯ рдкреИрдХреЗрдЬ рдкреВрд░реА рддрд░рд╣ рд╕реЗ рдЙрдкрдпреЛрдЧрдХрд░реНрддрд╛-рдЬрдирд┐рдд рдФрд░ рдЕрдиреНрдп рдкреНрд░рдХрд╛рд░ рдХреЗ рдкреИрдХреЗрдЬреЛрдВ рдХреЗ рд╕рд╛рде рд╕рд╛рдорд╛рдиреНрдп рдХрд░реНрдиреЗрд▓ рдЗрдВрдЯрд░рдлрд╝реЗрд╕ рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ рдкреНрд░реЗрд╖рд┐рдд рд╣реЛрддрд╛ рд╣реИред рдЗрд╕ рдорд╛рдорд▓реЗ рдореЗрдВ рдкреИрдХреЗрдЯ рдкреНрд░рд╛рд░реВрдк, рдЬреИрд╕рд╛ рдХрд┐ рдкрд╣рд▓реЗ рд╣реА рдКрдкрд░ рдЙрд▓реНрд▓реЗрдЦ рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИ, рдПрдХ рдбрдмрд▓ рд╢рдмреНрдж рдХреА рд▓рдВрдмрд╛рдИ рдХреЗ рд╕рд╛рде рд╕рд┐рд╕реНрдЯрдо рдореЗрдореЛрд░реА рдореЗрдВ рд▓рд┐рдЦрдиреЗ рдХреЗ рдЕрдиреБрд░реЛрдз рдХреЗ рдЕрдиреБрд░реВрдк рд╣реИред

рд╕рдВрд╕реНрдХрд░рдг рдПрд╡рд▓реЙрди-рдПрд╕рдЯреА рдореЗрдВ рдЗрдВрдЯреЗрд▓ рд╕реЗ рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рдХреЛрд░ рдкреАрд╕реАрдЖрдИ рдПрдХреНрд╕рдкреНрд░реЗрд╕ рдПрдлрдкреАрдЬреАрдП рд╡реА-рд╕реАрд░реАрдЬрд╝ рдХреА рд╡рд┐рд╢реЗрд╖рддрд╛рдПрдВ


рдЗрд╕ рддрдереНрдп рдХреЗ рдмрд╛рд╡рдЬреВрдж рдХрд┐ рд╡рд┐рднрд┐рдиреНрди рдирд┐рд░реНрдорд╛рддрд╛рдУрдВ рд╕реЗ рдкреАрд╕реАрдЖрдИ рдПрдХреНрд╕рдкреНрд░реЗрд╕ рдПрдлрдкреАрдЬреАрдПрдПрд╕ рдХреЗ рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рдХреЛрд░ рд╕рдорд╛рди рдХрд╛рд░реНрдпрдХреНрд╖рдорддрд╛, рд╡реНрдпрдХреНрддрд┐рдЧрдд рдХреЛрд░ рдЗрдВрдЯрд░рдлреЗрд╕ рдпрд╛ рдЙрдирдХреЗ рд╕рдВрдЪрд╛рд▓рди рдХреЗ рдХреНрд░рдо рдХреЛ рдЕрд▓рдЧ-рдЕрд▓рдЧ рд▓рд╛рдЧреВ рдХрд░ рд╕рдХрддреЗ рд╣реИрдВред
рдЗрдВрдЯреЗрд▓ рд╡реА-рд╕реАрд░реАрдЬрд╝ рдкреАрд╕реАрдЖрдИ рдПрдХреНрд╕рдкреНрд░реЗрд╕ рдПрдлрдкреАрдЬреАрдП рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рдХреЛрд░ рджреЛ рд╕рдВрд╕реНрдХрд░рдгреЛрдВ рдореЗрдВ рдЙрдкрд▓рдмреНрдз рд╣реИрдВ: рдПрд╡рд▓реЙрди-рдПрдордПрдо рдФрд░ рдПрд╡рд▓реЙрди-рдПрд╕рдЯреА рдХреЗ рд╕рд╛рдеред рдЙрддреНрддрд░рд╛рд░реНрджреНрдз, рд╣рд╛рд▓рд╛рдВрдХрд┐ рдЗрд╕реЗ рдбреЗрд╡рд▓рдкрд░ рд╕реЗ рдЕрдзрд┐рдХ рдкреНрд░рдпрд╛рд╕ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реЛрддреА рд╣реИ, рдЖрдкрдХреЛ рд╕рдмрд╕реЗ рдЕрдзрд┐рдХ рдмреИрдВрдбрд╡рд┐рдбреНрде рдкреНрд░рд╛рдкреНрдд рдХрд░рдиреЗ рдХреА рдЕрдиреБрдорддрд┐ рджреЗрддрд╛ рд╣реИред рдЗрд╕ рдХрд╛рд░рдг рд╕реЗ, рдПрд╡рд▓реЙрди-рдПрдордПрдо рдЗрдВрдЯрд░рдлреЗрд╕ рд╡рд╛рд▓рд╛ рдХрд░реНрдиреЗрд▓ рдирд╣реАрдВ рдорд╛рдирд╛ рдЬрд╛рдПрдЧрд╛ред

рдПрд╡рд▓реЙрди-рдПрд╕рдЯреА рдЗрдВрдЯрд░рдлрд╝реЗрд╕ рдХреЗ рд╕рд╛рде рдкреАрд╕реАрдЖрдИ рдПрдХреНрд╕рдкреНрд░реЗрд╕ рдХреЛрд░ рджрд╕реНрддрд╛рд╡реЗрдЬ рдкрд░реНрдпрд╛рдкреНрдд рд╡рд┐рд╕реНрддрд╛рд░ рд╕реЗ рдХрд░реНрдиреЗрд▓ рдорд╛рдкрджрдВрдбреЛрдВ, рдЗрдирдкреБрдЯ рдФрд░ рдЖрдЙрдЯрдкреБрдЯ рд╕рд┐рдЧреНрдирд▓ рдХрд╛ рд╡рд░реНрдгрди рдХрд░рддрд╛ рд╣реИред рд╣рд╛рд▓рд╛рдБрдХрд┐, рдХрд░реНрдиреЗрд▓ рдореЗрдВ рдХрдИ рд╡рд┐рд╢реЗрд╖рддрд╛рдПрдВ рд╣реЛрддреА рд╣реИрдВ, рдЬрд┐рди рдкрд░ рдПрдХ рдбреЗрд╡рд▓рдкрд░ рдХреЛ рдзреНрдпрд╛рди рджреЗрдирд╛ рдЪрд╛рд╣рд┐рдПред

рд╕реБрд╡рд┐рдзрд╛рдУрдВ рдХрд╛ рдкрд╣рд▓рд╛ рд╕рдореВрд╣ рдЙрди рддрд░реАрдХреЛрдВ рд╕реЗ рд╕рдВрдмрдВрдзрд┐рдд рд╣реИ рдЬреЛ рдЖрдкрдХреЛ PCIe рдЖрд╡рд╢реНрдпрдХрддрд╛рдУрдВ рдХреЗ рдЕрдиреБрд╕рд╛рд░ 100 ms рдХреЗ рднреАрддрд░ FPGAs рдХреЛ рдХреЙрдиреНрдлрд╝рд┐рдЧрд░ рдХрд░рдиреЗ рдХреА рдЕрдиреБрдорддрд┐ рджреЗрддрд╛ рд╣реИред FPP рдкреНрд░рдХрд╛рд░ рдХреЗ рд╕рдорд╛рдирд╛рдВрддрд░ рд▓реЛрдбрд┐рдВрдЧ рдХреЗ рдЕрд▓рд╛рд╡рд╛, рдбреЗрд╡рд▓рдкрд░ рдХреЛ рдкреНрд░реЛрдЯреЛрдХреЙрд▓ (CvP) рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ рдХреЙрдиреНрдлрд╝рд┐рдЧрд░реЗрд╢рди рдФрд░ рдХрд░реНрдиреЗрд▓ рдХреА рд╕реНрд╡рд╛рдпрддреНрдд рдореЛрдб (рд╕реНрд╡рд╛рдпрддреНрдд рдореЛрдб) рдЬреИрд╕реЗ рддрд░реАрдХреЛрдВ рдХреА рдкреЗрд╢рдХрд╢ рдХреА рдЬрд╛рддреА рд╣реИред рдбреЗрд╡рд▓рдкрд░ рдХреЛ рдпрд╣ рд╕реБрдирд┐рд╢реНрдЪрд┐рдд рдХрд░рдирд╛ рдЪрд╛рд╣рд┐рдП рдХрд┐ рдкреНрд░реЛрдЯреЛрдХреЙрд▓ рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ рдХреЙрдиреНрдлрд╝рд┐рдЧрд░реЗрд╢рди рдпрд╛ рд╕реНрдЯреИрдВрдбрдЕрд▓реЛрди рдХрд░реНрдиреЗрд▓ рдореЛрдб рдЪрдпрдирд┐рдд PCIe рдЧрддрд┐ ("рд▓реЗрди рджрд░" рдкреИрд░рд╛рдореАрдЯрд░) рдХреЗ рд▓рд┐рдП рд╕рдорд░реНрдерд┐рдд рд╣реИред рдкреНрд░реЛрдЯреЛрдХреЙрд▓ рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ рдХреЙрдиреНрдлрд╝рд┐рдЧрд░реЗрд╢рди рдХреЗ рд▓рд┐рдП, рд╕рдВрдмрдВрдзрд┐рдд рдЬрд╛рдирдХрд╛рд░реА рдХрд░реНрдиреЗрд▓ рдкреНрд░рд▓реЗрдЦрди рдореЗрдВ рдкрд╛рдИ рдЬрд╛ рд╕рдХрддреА рд╣реИред рдСрдлрд╝рд▓рд╛рдЗрди рдореЛрдб рдХреЗ рдорд╛рдорд▓реЗ рдореЗрдВ, рдРрд╕реА рдХреЛрдИ рдЬрд╛рдирдХрд╛рд░реА рдирд╣реАрдВ рд╣реИ, рдЗрд╕рд▓рд┐рдП рдЖрдкрдХреЛ рдкрд░рд┐рдпреЛрдЬрдирд╛ рдХреЛ рд╕рдВрдХрд▓рд┐рдд рдХрд░рдиреЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реИред рдпрджрд┐ рд╡рд░реНрддрдорд╛рди рдХрд░реНрдиреЗрд▓ рдЧрддрд┐ рдХреЗ рд▓рд┐рдП рд╕реНрдЯреИрдВрдбрдЕрд▓реЛрди рдХрд░реНрдиреЗрд▓ рдореЛрдб рдХрд╛ рд╕рдорд░реНрдерди рдирд╣реАрдВ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рддреЛ рдХреНрд╡рд╛рд░реНрдЯрд╕ рдПрдХ рд╕рдВрдмрдВрдзрд┐рдд рддреНрд░реБрдЯрд┐ (рдЪрд┐рддреНрд░ 10) рдЙрддреНрдкрдиреНрди рдХрд░реЗрдЧрд╛ред


рдЪрд┐рддреНрд░ 10 - рдСрдлрд╝рд▓рд╛рдЗрди рдореЛрдб рдХреЗ рд▓рд┐рдП PCIe рдХрд░реНрдиреЗрд▓ рдХреЛ рд╕рдВрдХрд▓рд┐рдд рдХрд░рдиреЗ рдореЗрдВ рддреНрд░реБрдЯрд┐ред

рдпрджрд┐ рдХреЛрдИ рдбреЗрд╡рд▓рдкрд░ рдХрд┐рд╕реА рдкреНрд░реЛрдЯреЛрдХреЙрд▓ рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ рдХреЙрдиреНрдлрд╝рд┐рдЧрд░реЗрд╢рди рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдиреЗ рдХреА рдпреЛрдЬрдирд╛ рдмрдирд╛ рд░рд╣рд╛ рд╣реИ, рддреЛ рдЙрд╕реЗ рдзреНрдпрд╛рди рджреЗрдирд╛ рдЪрд╛рд╣рд┐рдП рдХрд┐ FPGA рдХреЛрд░ PCIe рдХрдиреЗрдХреНрдЯрд░ рд╕реЗ рдЬреБрдбрд╝рд╛ рд╣реИред рдпрд╣ рд╡рд┐рд╢реЗрд╖ рд░реВрдк рд╕реЗ рд╕рдЪ рд╣реИ рдпрджрд┐ рдбреЗрд╡рд▓рдкрд░ рдПрдХ рд╕рдорд╛рдкреНрдд рдмреЛрд░реНрдб рдХрд╛ рдЙрдкрдпреЛрдЧ рдирд╣реАрдВ рдХрд░рддрд╛ рд╣реИ, рд▓реЗрдХрд┐рди рдЙрд╕рдХрд╛ рдЕрдкрдирд╛ рдбрд┐рд╡рд╛рдЗрд╕ рд╣реИред рдХрдИ PCIe рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рдХреЛрд░ рдХреЗ рд╕рд╛рде FPGAs рдореЗрдВ, рдХреЗрд╡рд▓ рдПрдХ рдХреЛрд░ CvP рдХреЛ рд╕рдХреНрд╖рдо рдХрд░рдиреЗ рдХреА рдЕрдиреБрдорддрд┐ рджреЗрддрд╛ рд╣реИред CvP рд╕рдорд░реНрдерди рдХреЗ рд╕рд╛рде рдХреЛрд░ рдХрд╛ рд╕реНрдерд╛рди FPGA рдкреНрд░рд▓реЗрдЦрди рдореЗрдВ рдЗрдВрдЧрд┐рдд рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИред
рд╕реБрд╡рд┐рдзрд╛рдУрдВ рдХрд╛ рджреВрд╕рд░рд╛ рд╕рдореВрд╣ рдПрд╡рд▓реЙрди-рдПрд╕рдЯреА рдбреЗрдЯрд╛ рдЯреНрд░рд╛рдВрд╕рдлрд░ рдЗрдВрдЯрд░рдлрд╝реЗрд╕ рд╕реЗ рд╕рдВрдмрдВрдзрд┐рдд рд╣реИред рдпрд╣ рдЗрдВрдЯрд░рдлрд╝реЗрд╕ рд╣реИ рдЬрд┐рд╕рдХрд╛ рдЙрдкрдпреЛрдЧ рдЙрдкрдпреЛрдЧрдХрд░реНрддрд╛ рддрд░реНрдХ рдФрд░ рдХрд░реНрдиреЗрд▓ рдХреЗ рдмреАрдЪ рд▓реЗрдирджреЗрди-рд╕реНрддрд░ рдХреЗ рдкреИрдХреЗрдЯ рдХреЛ рд╕реНрдерд╛рдирд╛рдВрддрд░рд┐рдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред

рдкреНрд░рд╛рдкреНрдд рдХрд░рдиреЗ рд╡рд╛рд▓реЗ рдкрдХреНрд╖ рдореЗрдВ, рдХрд░реНрдиреЗрд▓ рдореЗрдВ рджреЛ рд╕рд┐рдЧреНрдирд▓ рд╣реЛрддреЗ рд╣реИрдВ рдЬреЛ рдЙрдкрдпреЛрдЧрдХрд░реНрддрд╛ рдХреЛ рдкреНрд░рд╛рдкреНрдд рдкреИрдХреЗрдЯреЛрдВ рдХреА рдкреНрд░рд╛рдкреНрддрд┐ рдХреЛ рд░реЛрдХрдиреЗ рдХреА рдЕрдиреБрдорддрд┐ рджреЗрддреЗ рд╣реИрдВ: rx_st_mask рд╕рд┐рдЧреНрдирд▓ рдФрд░ rx_st_ready рд╕рд┐рдЧреНрдирд▓ред
Rx_st_ready рд╕рд┐рдЧреНрдирд▓ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдХреЗ, рдбреЗрд╡рд▓рдкрд░ рд╕рднреА рдкреНрд░рдХрд╛рд░ рдХреЗ рдкреИрдХреЗрдЯ рдХреЗ рдЖрдЙрдЯрдкреБрдЯ рдХреЛ рд░реЛрдХ рд╕рдХрддрд╛ рд╣реИред рд╣рд╛рд▓рд╛рдВрдХрд┐, рдпрджрд┐ рдЖрдк рдЗрд╕ рд╕рдВрдХреЗрдд рдХреЛ рд╕рдХреНрд░рд┐рдп рдХрд░рддреЗ рд╣реИрдВ, рддреЛ рдХрд░реНрдиреЗрд▓ рдСрдкрд░реЗрдЯрд┐рдВрдЧ рдЖрд╡реГрддреНрддрд┐ рдХреЗ рдХреЗрд╡рд▓ рджреЛ рдШрдбрд╝реА рдЪрдХреНрд░реЛрдВ рдХреЗ рдмрд╛рдж рдкреИрдХреЗрдЯ рдХреЗ рдЙрддреНрдкрд╛рджрди рдХреЛ рд░реЛрдХ рджреЗрдЧрд╛ред рдЗрд╕рд▓рд┐рдП, рд╕рд┐рдЧреНрдирд▓ рд╕рдХреНрд░рд┐рдпрдг рдХреЗ рджреМрд░рд╛рди, рдЙрдкрдпреЛрдЧрдХрд░реНрддрд╛ рддрд░реНрдХ рдХреЛ рдЕрддрд┐рд░рд┐рдХреНрдд рдорд╛рддреНрд░рд╛ рдореЗрдВ рдбреЗрдЯрд╛ рдкреНрд░рд╛рдкреНрдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рддреИрдпрд╛рд░ рд╣реЛрдирд╛ рдЪрд╛рд╣рд┐рдПред рдпрджрд┐, рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, рдПрдХ рдбреЗрд╡рд▓рдкрд░ рдПрдХ рдлреАрдлреЛ рдХреЗ рд░реВрдк рдореЗрдВ рдПрдХ рдмрдлрд░ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддрд╛ рд╣реИ, рддреЛ рдЙрд╕реЗ рдмрдлрд░ рдУрд╡рд░рдлреНрд▓реЛ рд╕реЗ рдмрдЪрдирд╛ рдЪрд╛рд╣рд┐рдПред рдЕрдиреНрдпрдерд╛, рдкреИрдХреЗрдЬ рдХреА рдХреБрдЫ рд╕рд╛рдордЧреНрд░реА рдЦреЛ рдЬрд╛рдПрдЧреАред

рд╕рд┐рдЧреНрдирд▓ "rx_st_mask" рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдХреЗ, рдбреЗрд╡рд▓рдкрд░ рдЕрдиреБрд░реЛрдзреЛрдВ рдХреЛ рдЬрд╛рд░реА рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдирд┐рд▓рдВрдмрд┐рдд рдХрд░рддрд╛ рд╣реИ рдЬрд┐рд╕рдХреЗ рд▓рд┐рдП рдЙрддреНрддрд░ рднреЗрдЬреЗ рдЬрд╛рдиреЗ рдЪрд╛рд╣рд┐рдПред рдпрд╣ рд╕рдВрдХреЗрдд рднреА рдкреИрдХреЗрдЯ рдХреЗ рдЙрддреНрдкрд╛рджрди рдХреЛ рддреБрд░рдВрдд рдирд╣реАрдВ рд░реЛрдХрддрд╛ рд╣реИред рдкреНрд░рд▓реЗрдЦрди рдХреЗ рдЕрдиреБрд╕рд╛рд░, рд╕рд┐рдЧреНрдирд▓ рдХреЛ рд╕рдХреНрд░рд┐рдп рдХрд░рдиреЗ рдХреЗ рдмрд╛рдж, рдХрд░реНрдиреЗрд▓ 10 рдЕрдиреБрд░реЛрдзреЛрдВ рдХреЛ рдЬрд╛рд░реА рдХрд░ рд╕рдХрддрд╛ рд╣реИред рдпрджрд┐ рдЙрдкрдпреЛрдЧрдХрд░реНрддрд╛ рддрд░реНрдХ "rx_st_mask" рдХреЛ рд╕рдХреНрд░рд┐рдп рдХрд░рддрд╛ рд╣реИ, рдФрд░ рдкреНрд░рд╛рдкреНрдд рдкреИрдХреЗрдЯ рдХреЛ рд╕рдВрд╕рд╛рдзрд┐рдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдмрдлрд░ рдореЗрдВ рдкрд░реНрдпрд╛рдкреНрдд рд╕реНрдерд╛рди рдирд╣реАрдВ рд╣реИ, рддреЛ рдпрд╣ "rx_st_ready" рд╕рд┐рдЧреНрдирд▓ рдХреЛ рднреА рд╕рдХреНрд░рд┐рдп рдХрд░ рд╕рдХрддрд╛ рд╣реИред рдЗрд╕ рд╕реНрдерд┐рддрд┐ рдореЗрдВ, рдЙрдкрдпреЛрдЧрдХрд░реНрддрд╛ рддрд░реНрдХ рдЖрдВрддрд░рд┐рдХ рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рдХрд░реНрдиреЗрд▓ рдмрдлрд░ рд╕реЗ рдХрд┐рд╕реА рднреА рдкреИрдХреЗрдЯ рдХреЛ рдкрдврд╝рдирд╛ рдмрдВрдж рдХрд░ рджреЗрддрд╛ рд╣реИред рдпрд╣ рди рдХреЗрд╡рд▓ рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рдХрд░реНрдиреЗрд▓ рдмрдлрд╝рд░реНрд╕ рдХреЛ рдУрд╡рд░рд░рди рдХрд░рддрд╛ рд╣реИ, рдмрд▓реНрдХрд┐ рдкреИрдХреЗрдЯ рдСрд░реНрдбрд░ рдЖрд╡рд╢реНрдпрдХрддрд╛рдУрдВ рдХрд╛ рднреА рдЙрд▓реНрд▓рдВрдШрди рдХрд░рддрд╛ рд╣реИред рдбрд┐рд╡рд╛рдЗрд╕ рдХреЛ рдЖрдЧреЗ рдХреЗ рдЕрдиреБрд░реЛрдзреЛрдВ рдХреЛ рдЫреЛрдбрд╝рдирд╛ рдЪрд╛рд╣рд┐рдП рдЬрд┐рдиреНрд╣реЗрдВ рдкреНрд░рддрд┐рдХреНрд░рд┐рдпрд╛ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рдирд╣реАрдВ рд╣реИ, рдФрд░ рдкреНрд░рддрд┐рдХреНрд░рд┐рдпрд╛рдУрдВ рдХреЛ рдкрдврд╝рдирд╛ рдЪрд╛рд╣рд┐рдПред рдЕрдиреНрдпрдерд╛, рдбреЗрдЯрд╛ рдЪреИрдирд▓ рдХрд╕рдХрд░ рдЕрд╡рд░реБрджреНрдз рд╣реЛ рдЬрд╛рдПрдЧрд╛редрдЗрд╕ рдХрд╛рд░рдг рд╕реЗ, рдбреЗрд╡рд▓рдкрд░ рдХреЛ рдЙрддреНрддрд░реЛрдВ рдХреЗ рд╕рд╛рде рдЕрдиреБрд░реЛрдзреЛрдВ рдХреЛ рд╕рдВрд╕рд╛рдзрд┐рдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдПрдХ рдЕрддрд┐рд░рд┐рдХреНрдд рдмрдлрд░ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдирд╛ рдЪрд╛рд╣рд┐рдП рдФрд░ рддрд░реНрдХ рдХреЛ рдЙрдЪреНрдЪ рдкреНрд░рд╛рдердорд┐рдХрддрд╛ рд╡рд╛рд▓реЗ рдкреИрдХреЗрдЯ рдХреЛ рдЕрд╡рд░реБрджреНрдз рдХрд░рдиреЗ рдХреА рдЕрдиреБрдорддрд┐ рдирд╣реАрдВ рджреЗрдирд╛ рдЪрд╛рд╣рд┐рдПред

рд╕рдВрдЪрд╛рд░рдг рдкрдХреНрд╖ рдкрд░, tx_st_valid рдФрд░ tx_st_ready рд╕рдВрдХреЗрддреЛрдВ рд╕реЗ рд╕рдорд╕реНрдпрд╛рдПрдВ рд╣реЛ рд╕рдХрддреА рд╣реИрдВред рдпрджрд┐ tx_st_ready рд╕рдВрдХреЗрдд рд╕рдХреНрд░рд┐рдп рд╣реИ, рддреЛ рдЙрдкрдпреЛрдЧрдХрд░реНрддрд╛ рддрд░реНрдХ рдХреЗ рд▓рд┐рдП рдирд┐рд╡рд░реНрддрдорд╛рди рдкреИрдХреЗрдЯ рдХреЗ рдмреАрдЪ рдореЗрдВ tx_st_valid рд░реАрд╕реЗрдЯ рдХрд░рдирд╛ рдирд┐рд╖рд┐рджреНрдз рд╣реИред рдЗрд╕рдХрд╛ рдорддрд▓рдм рд╣реИ рдХрд┐ рд╕реНрдерд╛рдирд╛рдВрддрд░рдг рдХреЗ рджреМрд░рд╛рди, рдбреЗрд╡рд▓рдкрд░ рдХреЛ рдкреИрдХреЗрдЬ рдХреА рд╕рдВрдкреВрд░реНрдг рд╕рд╛рдордЧреНрд░реА рдкреНрд░рджрд╛рди рдХрд░рдиреА рд╣реЛрдЧреАред рдпрджрд┐ рдбреЗрдЯрд╛ рд╕реНрд░реЛрдд рдХрд░реНрдиреЗрд▓ рдЗрдВрдЯрд░рдлрд╝реЗрд╕ рд╕реЗ рдзреАрдорд╛ рд╣реИ, рддреЛ рдЙрдкрдпреЛрдЧрдХрд░реНрддрд╛ рддрд░реНрдХ рдХреЛ рдкреИрдХреЗрдЬ рдХреА рд╢реБрд░реБрдЖрдд рд╕реЗ рдкрд╣рд▓реЗ рдЖрд╡рд╢реНрдпрдХ рдорд╛рддреНрд░рд╛ рдореЗрдВ рдбреЗрдЯрд╛ рдХреЛ рдЬрдорд╛ рдХрд░рдирд╛ рд╣реЛрдЧрд╛ред
рдкреНрд░рд╛рдкреНрддрдХрд░реНрддрд╛ рдкрдХреНрд╖ рдФрд░ рд╕рдВрдЪрд╛рд░рдг рдкрдХреНрд╖ рджреЛрдиреЛрдВ рдкрд░, рдбреЗрд╡рд▓рдкрд░ рдХреЛ рдкреИрдХреЗрдЯ рдХреЗ рд╢реАрд░реНрд╖рд▓реЗрдЦ рдФрд░ рд╕рд╛рдордЧреНрд░реА рдореЗрдВ рдмрд╛рдЗрдЯ рдХреНрд░рдо рдкрд░ рдзреНрдпрд╛рди рджреЗрдирд╛ рдЪрд╛рд╣рд┐рдП, рд╕рд╛рде рд╣реА рдбреЗрдЯрд╛ рд╕рдВрд░реЗрдЦрдг рднреАред

рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рдХреЛрд░ рдХреЗ рдПрд╡рд▓реЙрди-рдПрд╕рдЯреА рдкреИрдХреЗрдЯ рдореЗрдВ, рдкреАрд╕реАрдЖрдИ рдкреИрдХреЗрдЯ рдХреЗ рд╣реЗрдбрд░ рдХреЗ рдЕрдВрджрд░ рдкреНрд░рддреНрдпреЗрдХ рдбрдмрд▓ рд╢рдмреНрдж рдХреЗ рднреАрддрд░, рдмрд╛рдЗрдЯреНрд╕ рдХрдо рд╕реЗ рдЙрдЪреНрдЪ рддрдХ рдХрд╛ рдкрд╛рд▓рди рдХрд░рддреЗ рд╣реИрдВ; рдкреИрдХреЗрдЬ рд╕рд╛рдордЧреНрд░реА рдХреЗ рдЕрдВрджрд░ - рд╕рдмрд╕реЗ рдкреБрд░рд╛рдиреЗ рд╕реЗ рд╕рдмрд╕реЗ рдХрдо рдЙрдореНрд░ рддрдХред рдбреЗрд╡рд▓рдкрд░ рдХреЛ рдПрдВрдбрдкреЙрдЗрдВрдЯ рд╕реЗ рд░реВрдЯ рдкрд░ рдбреЗрдЯрд╛ рдХреЛ рд╕рдлрд▓рддрд╛рдкреВрд░реНрд╡рдХ рд╕реНрдерд╛рдирд╛рдВрддрд░рд┐рдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдЖрдЙрдЯрдЧреЛрдЗрдВрдЧ рдкреИрдХреЗрдЯ рдореЗрдВ рдЗрд╕реА рддрд░рд╣ рдХреЗ рдЖрджреЗрд╢ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдирд╛ рдЪрд╛рд╣рд┐рдПред

рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рдХреЛрд░ рдХрд╛ рдПрд╡рд▓реЙрди-рдПрд╕рдЯреА рдЗрдВрдЯрд░рдлрд╝реЗрд╕ 64 рдмрд┐рдЯ рдХреЗ рдЧреБрдгрдХреЛрдВ рдореЗрдВ рдбреЗрдЯрд╛ рдХреЛ рд╕рдВрд░реЗрдЦрд┐рдд рдХрд░рддрд╛ рд╣реИред рдПрд╡рд▓реЙрди-рдПрд╕рдЯреА рдЗрдВрдЯрд░рдлрд╝реЗрд╕ рдХреА рдЪреМрдбрд╝рд╛рдИ рдХреЗ рдЖрдзрд╛рд░ рдкрд░, рд▓реЗрди-рджреЗрди-рд╕реНрддрд░ рдкреИрдХреЗрдЯ рд╣реЗрдбрд░ рдФрд░ рдкреИрдХреЗрдЯ рдкрддреЗ рдХреА рд▓рдВрдмрд╛рдИ, рдХрд░реНрдиреЗрд▓ рдкреИрдХреЗрдЯ рд╣реЗрдбрд░ рдФрд░ рдЙрд╕рдХреА рд╕рд╛рдордЧреНрд░реА рдХреЗ рдмреАрдЪ рдПрдХ рдЦрд╛рд▓реА рдбрдмрд▓ рд╢рдмреНрдж рдЬреЛрдбрд╝ рд╕рдХрддрд╛ рд╣реИред рдмрджрд▓реЗ рдореЗрдВ, рдбреЗрдЯрд╛ рд╕рдВрдЪрд╛рд░рд┐рдд рдХрд░рддреЗ рд╕рдордп, рдЙрдкрдпреЛрдЧрдХрд░реНрддрд╛ рддрд░реНрдХ рдХреЛ рдХрд░реНрдиреЗрд▓ рдХреЗ рд╕рд╛рде рд╕рд╛рджреГрд╢реНрдп рджреНрд╡рд╛рд░рд╛ рдЕрдЧреНрд░рд┐рдо рдореЗрдВ рдПрдХ рдЦрд╛рд▓реА рдбрдмрд▓ рд╢рдмреНрдж рдЬреЛрдбрд╝рдирд╛ рд╣реЛрдЧрд╛ред рдЗрд╕ рдЦрд╛рд▓реА рдбрдмрд▓ рд╢рдмреНрдж рдХреЛ рдкреИрдХреЗрдЯ рдХреА рд▓рдВрдмрд╛рдИ рдкрд░ рдзреНрдпрд╛рди рдирд╣реАрдВ рджрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ рдФрд░ рдпрд╣ рдХреЗрд╡рд▓ рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рдХрд░реНрдиреЗрд▓ рдХреЗ рд╕рд╣реА рд╕рдВрдЪрд╛рд▓рди рдХреЗ рд▓рд┐рдП рдЖрд╡рд╢реНрдпрдХ рд╣реИред

рдЕрдЧрд▓реА рд╕реБрд╡рд┐рдзрд╛ рдЖрдиреЗ рд╡рд╛рд▓реА рд░реАрдб рдкреНрд░рддрд┐рдХреНрд░рд┐рдпрд╛рдУрдВ рд╕реЗ рд╕рдВрдмрдВрдзрд┐рдд рд╣реИред рдХрд░реНрдиреЗрд▓ рд╡рд┐рд╡рд░рдг рдХрд╣рддрд╛ рд╣реИ рдХрд┐ рдпрд╣ рдЖрдиреЗ рд╡рд╛рд▓реА рдкреНрд░рддрд┐рдХреНрд░рд┐рдпрд╛рдУрдВ рдХреЛ рдпрд╛рдж рдирд╣реАрдВ рдХрд░рддрд╛ рд╣реИ рдЬрд┐рд╕рдХреА рдкрд╣рдЪрд╛рдирдХрд░реНрддрд╛ рдирд┐рд╡рд░реНрддрдорд╛рди рдЕрдиреБрд░реЛрдз рд╕реЗ рдореЗрд▓ рдирд╣реАрдВ рдЦрд╛рддрд╛ рд╣реИред рдЙрд╕реА рд╕рдордп, рдЙрдкрдпреЛрдЧрдХрд░реНрддрд╛ рддрд░реНрдХ рдХреЛ рдкреНрд░рддрд┐рдХреНрд░рд┐рдпрд╛рдУрдВ рдХреЗ рд▓рд┐рдП рдкреНрд░рддреАрдХреНрд╖рд╛ рд╕рдордп рдХрд╛ рдкрд╛рд▓рди рдХрд░рдирд╛ рдЪрд╛рд╣рд┐рдПред рдпрджрд┐ рдкреНрд░рддреАрдХреНрд╖рд╛ рд╕рдордп рдкрд╛рд░ рд╣реЛ рдЧрдпрд╛ рд╣реИ, рддреЛ рдЙрдкрдпреЛрдЧрдХрд░реНрддрд╛ рддрд░реНрдХ рдХреЛ "cpl_err [0]" рдпрд╛ "cpl_err [1]" рдзреНрд╡рдЬ рдХреЛ рдЙрдард╛рдирд╛ рд╣реЛрдЧрд╛ред рдпрд╣ рдкреНрд░рд▓реЗрдЦрди рд╕реЗ рд╕реНрдкрд╖реНрдЯ рдирд╣реАрдВ рд╣реИ рдХрд┐ рдЬрдм рд╕рдорд╛рдкрди рдмрд┐рдВрджреБ рдПрдХрд╛рдзрд┐рдХ рд░реАрдб рдЕрдиреБрд░реЛрдз рднреЗрдЬрддрд╛ рд╣реИ рддреЛ рдлрд╝рд┐рд▓реНрдЯрд░рд┐рдВрдЧ рдХреИрд╕реЗ рдХрд╛рдо рдХрд░реЗрдЧрд╛ред рдЙрдкрдпреЛрдЧрдХрд░реНрддрд╛ рддрд░реНрдХ рдХреЗрд╡рд▓ рдХрд░реНрдиреЗрд▓ рдХреЛ рдмрддрд╛рддрд╛ рд╣реИ рдХрд┐ рдЯрд╛рдЗрдордЖрдЙрдЯ рдПрдХ рдЕрдиреБрд░реЛрдз рдХреЗ рд▓рд┐рдП рд╕рдорд╛рдкреНрдд рд╣реЛ рдЧрдпрд╛ рд╣реИ, рд▓реЗрдХрд┐рди рдХрд░реНрдиреЗрд▓ рдХреЛ рдЗрд╕ рдЕрдиреБрд░реЛрдз рдХреЗ рдкрд╣рдЪрд╛рдирдХрд░реНрддрд╛ рдХреЛ рдкрд╛рд╕ рдирд╣реАрдВ рдХрд░ рд╕рдХрддрд╛ рд╣реИред рдПрдХ рд╕рдВрднрд╛рд╡рдирд╛ рд╣реИ рдХрд┐ рдХрд░реНрдиреЗрд▓ рдПрдХ рд╕рдордпрд╕реАрдорд╛ рд╕рдорд╛рдкреНрдд рд╣реЛрдиреЗ рдХреЗ рд╕рд╛рде рдПрдХ рдЕрдиреБрд░реЛрдз рдХреЗ рд▓рд┐рдП рдЙрдкрдпреЛрдЧрдХрд░реНрддрд╛ рдкрдХреНрд╖ рдкреНрд░рддрд┐рдХреНрд░рд┐рдпрд╛рдУрдВ рдХреЛ рдкреНрд░реЗрд╖рд┐рдд рдХрд░ рд╕рдХрддрд╛ рд╣реИред рдЗрд╕рд▓рд┐рдП, рдбреЗрд╡рд▓рдкрд░ рдХреЛ рдЖрдиреЗ рд╡рд╛рд▓реА рдкреНрд░рддрд┐рдХреНрд░рд┐рдпрд╛рдУрдВ рдХреЗ рд▓рд┐рдП рдЕрдкрдирд╛ рдлрд╝рд┐рд▓реНрдЯрд░ рдмрдирд╛рдирд╛ рд╣реЛрдЧрд╛ред

рдЕрдВрдд рдореЗрдВ, рдбреЗрд╡рд▓рдкрд░реНрд╕ рдХреЛ рдЖрдЙрдЯрдЧреЛрдЗрдВрдЧ рдкреИрдХреЗрдЬ рдХреЗ рд▓рд┐рдП рдЙрдкрд▓рдмреНрдз рдЛрдгреЛрдВ рдХреА рдЬрд╛рдирдХрд╛рд░реА рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рджреГрдврд╝рддрд╛ рд╕реЗ рдкреНрд░реЛрддреНрд╕рд╛рд╣рд┐рдд рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред рдХреЛрд░ рдкреНрд░рд▓реЗрдЦрди рдХрд╣рддрд╛ рд╣реИ рдХрд┐ рдпрд╣ рдЖрд╡рд╢реНрдпрдХ рдирд╣реАрдВ рд╣реИ, рдХреНрдпреЛрдВрдХрд┐ рдХрд░реНрдиреЗрд▓ рдкрд░реНрдпрд╛рдкреНрдд рдЛрдг рдирд╣реАрдВ рд╣реЛрдиреЗ рдкрд░ рдЛрдгреЛрдВ рдХреА рдЬрд╛рдВрдЪ рдХрд░рддрд╛ рд╣реИ рдФрд░ рдкреИрдХреЗрдЬреЛрдВ рдХреЛ рдЕрд╡рд░реБрджреНрдз рдХрд░рддрд╛ рд╣реИред рд╣рд╛рд▓рд╛рдБрдХрд┐, рд╕рднреА рдкреНрд░рдХрд╛рд░ рдХреЗ рдкреИрдХреЗрдЬ рдХрд░реНрдиреЗрд▓ рдореЗрдВ рдПрдХрд▓ рдЗрдВрдЯрд░рдлрд╝реЗрд╕ рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ рдЖрддреЗ рд╣реИрдВред рдпрджрд┐ рдХрд░реНрдиреЗрд▓ рдкреИрдХреЗрдЯ рдмрдлрд╝рд░ рдУрд╡рд░рдлреНрд▓реЛ рд╣реЛрддрд╛ рд╣реИ, рддреЛ рдХрд░реНрдиреЗрд▓ tx_st_ready рдХреЛ рд╢реВрдиреНрдп рдкрд░ рд╕рдВрдХреЗрдд рджреЗрддрд╛ рд╣реИред рдЬрдм рддрдХ tx_st_ready рд╕рд┐рдЧреНрдирд▓ рдПрдХ рдкрд░ рд╕реЗрдЯ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рддрдм рддрдХ рдЙрдкрдпреЛрдЧрдХрд░реНрддрд╛ рддрд░реНрдХ, рд╕рд┐рджреНрдзрд╛рдВрдд рд░реВрдк рдореЗрдВ, рдХреЛрдИ рднреА рдкреИрдХреЗрдЯ рдирд╣реАрдВ рднреЗрдЬ рд╕рдХрддрд╛ рд╣реИред рдЙрдкрд▓рдмреНрдз рдЛрдг рдХреА рд╕рдВрдЦреНрдпрд╛ рдПрдХ рднрд╛рдЧреАрджрд╛рд░ рдбрд┐рд╡рд╛рдЗрд╕ рд╕реЗ рд╕рдВрдХреБрд▓ рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ рдЕрджреНрдпрддрди рдХреА рдЬрд╛рддреА рд╣реИред рдпрджрд┐ рдЙрдкрдпреЛрдЧрдХрд░реНрддрд╛ рдХрд╛ рддрд░реНрдХ рди рдХреЗрд╡рд▓ рдЕрдХреНрд╕рд░ рд▓рд┐рдЦрддрд╛ рд╣реИ, рдмрд▓реНрдХрд┐ рдкрдврд╝рддрд╛ рднреА рд╣реИ, рддреЛ рдЬрд┐рд╕ рдЧрддрд┐ рдХреЗ рд╕рд╛рде рдХрд░реНрдиреЗрд▓ рд╕реАрдорд╛ рдХрд╛рдЙрдВрдЯрд░рд░реНрд╕ рдХреЛ рдЕрдкрдбреЗрдЯ рдХрд░рддрд╛ рд╣реИ рд╡рд╣ рдЧрддрд┐ рд╣реЛрддреА рд╣реИред рдЕрдВрдд рдореЗрдВ, рд╕рдордЧреНрд░ рдкреНрд░рдгрд╛рд▓реА рдкреНрд░рджрд░реНрд╢рди рдЧреНрд░рд╕реНрдд рд╣реИред

рдирд┐рд╖реНрдХрд░реНрд╖


рд▓реЗрдЦ рдиреЗ рдкреАрд╕реАрдЖрдИ рдПрдХреНрд╕рдкреНрд░реЗрд╕, рдореБрдЦреНрдп рдбреЗрдЯрд╛ рдкреИрдХреЗрдЯ рдХреЗ рд╕реНрд╡рд░реВрдкреЛрдВ рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ рдбреЗрдЯрд╛ рдЯреНрд░рд╛рдВрд╕рдлрд░ рдХреЗ рд╕рд╛рдорд╛рдиреНрдп рд╕рд┐рджреНрдзрд╛рдВрддреЛрдВ рдХрд╛ рд╡рд░реНрдгрди рдХрд┐рдпрд╛ред рдлрд┐рд░ рднреА, рд▓реЗрдЦрдХ рдиреЗ рдРрд╕реЗ рдЗрдВрдЯрд░рдлрд╝реЗрд╕ рдШрдЯрдХреЛрдВ рдХреЛ рд╡рд░реНрдЪреБрдЕрд▓ рдЪреИрдирд▓ рдХреЗ рд░реВрдк рдореЗрдВ рдЫреЛрдбрд╝ рджрд┐рдпрд╛, рдкрдврд╝рдиреЗ рдХреЗ рд▓рд┐рдП рдЖрдиреЗ рд╡рд╛рд▓реА рдкреНрд░рддрд┐рдХреНрд░рд┐рдпрд╛рдУрдВ рдХреА рдорд╛рддреНрд░рд╛ рдкрд░ рдирд┐рдпрдВрддреНрд░рдг, рдФрд░ рдкреИрдХреЗрдЯ рдХрд╛ рдХреНрд░рдо рд╕рдЦреНрдд рдирд╣реАрдВ рд╣реИред рдЗрди рд╡рд┐рд╖рдпреЛрдВ рдкрд░ рдХрдИ рд╡рд┐рджреЗрд╢реА рд╕реНрд░реЛрддреЛрдВ [ 4 , 6 ] рдореЗрдВ рд╡рд┐рд╕реНрддрд╛рд░ рд╕реЗ рдЪрд░реНрдЪрд╛ рдХреА рдЧрдИ рд╣реИ ред
рд▓реЗрдЦ рдореЗрдВ рдЗрдВрдЯреЗрд▓ рдПрдХреНрд╕рдкреНрд░реЗрд╕ рд╡реА-рд╕реАрд░реАрдЬрд╝ FPGA FPGA рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рдХреЛрд░ рдХреА рд╡рд┐рд╢реЗрд╖рддрд╛рдПрдВ рднреА рд╢рд╛рдорд┐рд▓ рд╣реИрдВ рдЬреЛ рд▓реЗрдЦрдХ рдиреЗ рдЗрдВрдЯрд░рдлрд╝реЗрд╕ рдирд┐рдпрдВрддреНрд░рдХ рдкрд░ рдХрд╛рдо рдХрд░рддреЗ рд╕рдордп рд╕рд╛рдордирд╛ рдХрд┐рдпрд╛ред рдпрд╣ рдЕрдиреБрднрд╡ рдЕрдиреНрдп рдбреЗрд╡рд▓рдкрд░реНрд╕ рдХреЗ рд▓рд┐рдП рдЙрдкрдпреЛрдЧреА рд╣реЛ рд╕рдХрддрд╛ рд╣реИред

рдЙрдкрдпреЛрдЧ рдХрд┐рдП рдЧрдП рд╕реНрд░реЛрддреЛрдВ рдХреА рд╕реВрдЪреА


  1. рдПрдХ PCIe DMA рдорд▓реНрдЯреА-рдЧреАрдЧрд╛рдмрд╛рдЗрдЯ рдкреНрд░рддрд┐ рд╕реЗрдХрдВрдб рдбреЗрдЯрд╛ рдЯреНрд░рд╛рдВрд╕рдорд┐рд╢рди / рдПрд▓ред рд░реЛрдЯрд╛, рдПрдоред рдХреИрд╕реЗрд▓, рдПрдЯ рдХреЗ рд▓рд┐рдПред рдЕрд▓ред // рдиреНрдпреВрдХреНрд▓рд┐рдЕрдк рд╕рд╛рдЗрдВрд╕, рд╡реЛрд▓ тАЛтАЛрдкрд░ рдЖрдИрдИрдИрдИ рд▓реЗрди - рджреЗрдиред 62, рд╕рдВред 3, рдЬреВрди 2015ред
  2. An Efficient and Flexible Host-FPGA PCIe Communication Library / Jian Gong, Tao Wang, Jiahua Chen et. al. // 2014 24th International Conference on Field Programmable Logic and Applications.
  3. Design and Implementation of a High-Speed Data Acquisition Card Based on PCIe Bus / Li Mu-guo, Huang Ying, Liu Yu-zhi // уАКц╡ЛцОзцКАцЬпуАЛ2013х╣┤чмм32хН╖чмм7цЬЯуАВ
  4. Down to the TLP: How PCI express devices talk (Part I) / Eli Billauer
  5. Low-Cost FPGA Solution for PCI Express Implementation / Intel Corporation.
  6. Managing Receive-Buffer Space for Inbound Completions / Xilinx // Virtex-7 FPGA Gen3 Integrated Block for PCI Express v4.3, Appendix B
  7. PCIe Completion Timeout / Altera Forum
  8. PCIe packet in cyclone VI GX / Altera Forum
  9. PCIe simple transaction / Altera Forum
  10. PCIe w/ Avalon ST: Equivalent of ko_cpl_spc_vc0? / Altera Forum
  11. Point me in the right Direction тАУ PCIe / Altera Forum
  12. PCIE / Altera рдлреЛрд░рдо рдореЗрдВ рдЯрд╛рдЗрдордЖрдЙрдЯ рдХрд╛ рдЕрдиреБрд░реЛрдз рдХрд░реЗрдВ
  13. рдЧреИрд░-рд╕рд╣рдХрд╛рд░реА рдкреНрд░рд╛рдкреНрддрдХрд░реНрддрд╛ рд╕рддреНрдпрд╛рдкрди рдкреНрд▓реЗрдЯрдлрд╛рд░реНрдо / рд▓реА рдЬрд┐рдУ-рдирд┐рдВрдЧ, рдпрд╛рдУ рдпреБрдЖрди-рдЪреЗрдВрдЧ рдФрд░ рдХрд┐рди рдорд┐рдВрдЧ-рд╡реАрдИ 2016 рдХреЗ рдкреАрд╕реАрдЖрдИ рдХреЗ рдЖрдзрд╛рд░ рдкрд░ рд╣рд╛рдИ-рд╕реНрдкреАрдб рдЗрдВрдЯрд░рдлреЗрд╕ рдбрд┐рдЬрд╝рд╛рдЗрди рдореИрдХреЗрдирд┐рдХрд▓, рдХрдВрдЯреНрд░реЛрд▓, рдЗрд▓реЗрдХреНрдЯреНрд░рд┐рдХ, рдореЗрдХреНрдЯреНрд░реЛрдирд┐рдХреНрд╕, рд╕реВрдЪрдирд╛ рдФрд░ рдХрдВрдкреНрдпреВрдЯрд░ рдкрд░ рдЕрдВрддрд░реНрд░рд╛рд╖реНрдЯреНрд░реАрдп рд╕рдореНрдореЗрд▓рди
  14. PCI рдПрдХреНрд╕рдкреНрд░реЗрд╕ рдмреЗрд╕ рд╕реНрдкреЗрд╕рд┐рдлрд┐рдХреЗрд╢рди рд░рд┐рд╡рд┐рдЬрди 3.0 / PCI-SIG
  15. рдкреАрд╕реАрдЖрдИ рд╕реЙрд▓реНрдпреВрд╢рдВрд╕ / рдЗрдВрдЯреЗрд▓ рдХреЙрд░реНрдкреЛрд░реЗрд╢рди рдХреЗ рд▓рд┐рдП рд╕реНрдЯреНрд░реИрдЯрд┐рдХреНрд╕ рд╡реА рдПрд╡рд▓реЙрди-рдПрд╕рдЯреА рдЗрдВрдЯрд░рдлрд╝реЗрд╕
  16. PCIe рд╕реЙрд▓реНрдпреВрд╢рдВрд╕ / рдЗрдВрдЯреЗрд▓ рдХреЙрд░реНрдкреЛрд░реЗрд╢рди рдХреЗ рд▓рд┐рдП рдЪрдХреНрд░рд╡рд╛рдд рд╡реА рдПрд╡рд▓реЙрди-рдПрд╕рдЯреА рдЗрдВрдЯрд░рдлрд╝реЗрд╕

All Articles