рдбреЗрдЯрд╛ рдХреЗрдВрджреНрд░реЛрдВ рдореЗрдВ FPGA рдХреЗ рдкреНрд░рд╡реЗрд╢ рдХреА рдЕрдирд┐рд╡рд╛рд░реНрдпрддрд╛

рдЫрд╡рд┐

FPGA рдХреЗ рд▓рд┐рдП рдкреНрд░реЛрдЧреНрд░рд╛рдо рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдЖрдкрдХреЛ рдПрдХ рдЪрд┐рдк рдбреЗрд╡рд▓рдкрд░ рд╣реЛрдиреЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рдирд╣реАрдВ рд╣реИ, рдЖрдкрдХреЛ рдЬрд╛рд╡рд╛ рдХреЛрдб рд▓рд┐рдЦрдиреЗ рдХреЗ рд▓рд┐рдП C ++ рдкреНрд░реЛрдЧреНрд░рд╛рдорд░ рд╣реЛрдиреЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рдирд╣реАрдВ рд╣реИред рд╣рд╛рд▓рд╛рдВрдХрд┐, рджреЛрдиреЛрдВ рд╣реА рдорд╛рдорд▓реЛрдВ рдореЗрдВ, рд╢рд╛рдпрдж, рдпрд╣ рдЕрддрд┐рд╢реНрдпреЛрдХреНрддрд┐рдкреВрд░реНрдг рдирд╣реАрдВ рд╣реЛрдЧрд╛ред

рдЬрд╛рд╡рд╛ рдФрд░ FPGA рджреЛрдиреЛрдВ рддрдХрдиреАрдХреЛрдВ рдХреЗ рд╡реНрдпрд╛рд╡рд╕рд╛рдпреАрдХрд░рдг рдХрд╛ рд▓рдХреНрд╖реНрдп, рдирд╡реАрдирддрдо рд╡рд┐рд╡рд░рдг рдХрд╛ рдЦрдВрдбрди рдХрд░рдирд╛ рд╣реИред FPGAs рдХреЗ рд▓рд┐рдП рдЕрдЪреНрдЫреА рдЦрдмрд░ рдпрд╣ рд╣реИ рдХрд┐ рдкрд┐рдЫрд▓реЗ 35 рд╡рд░реНрд╖реЛрдВ рдореЗрдВ рдЕрдореВрд░реНрдд рдФрд░ рдЯреВрд▓рдХрд┐рдЯ рдХреЗ рдЙрдкрдпреБрдХреНрдд рд╕реНрддрд░реЛрдВ рдХреЗ рдЙрдкрдпреЛрдЧ рдХреЗ рд╕рд╛рде, рдкреНрд░реЛрдЧреНрд░рд╛рдореЗрдмрд▓ рд▓реЙрдЬрд┐рдХ рдбрд┐рд╡рд╛рдЗрд╕ рдХреЗ рдЖрд╡рд┐рд╖реНрдХрд╛рд░ рдХреЗ рдмрд╛рдж рд╕реЗ, CPU, DSP, GPU рдпрд╛ рд╡рд┐рд╢реЗрд╖ ASIC рдХреЗ рдХрд┐рд╕реА рдЕрдиреНрдп рд░реВрдк рдХреЗ рдмрдЬрд╛рдп FPGAs рдХреЗ рд▓рд┐рдП рдПрд▓реНрдЧреЛрд░рд┐рджрдо рдФрд░ рдбреЗрдЯрд╛ рд╕реНрдЯреНрд░реАрдо рдмрдирд╛рдирд╛ред рдЖрд╕рд╛рдиред

рдЙрдирдХреА рд░рдЪрдирд╛ рдХреА рдЕрджреНрднреБрдд рд╕рдордпрдмрджреНрдзрддрд╛ рдЗрд╕ рддрдереНрдп рдореЗрдВ рдкреНрд░рдХрдЯ рд╣реЛрддреА рд╣реИ рдХрд┐ рдмрд╕ рдЬрдм рд╕реАрдкреАрдпреВ рдХрдИ рдХрд╛рд░реНрдпреЛрдВ рдХреЛ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдбреЗрдЯрд╛ рдХреЗрдВрджреНрд░реЛрдВ рдХрд╛ рдПрдХрдорд╛рддреНрд░ рдХрдВрдкреНрдпреВрдЯрд┐рдВрдЧ рдореЙрдбреНрдпреВрд▓ рдирд╣реАрдВ рд░рд╣ рд╕рдХрддрд╛ рдерд╛ - рд╡рд┐рднрд┐рдиреНрди рдХрд╛рд░рдгреЛрдВ рд╕реЗ - FPGAs рдиреЗ рдЧрддрд┐, рдХрдо рд╡рд┐рд▓рдВрдмрддрд╛, рдиреЗрдЯрд╡рд░реНрдХ рдХреНрд╖рдорддрд╛рдУрдВ рдФрд░ рд╕реНрдореГрддрд┐ рдХреА рдкреЗрд╢рдХрд╢ рдХрд░рдХреЗ рдЕрдкрдиреА рджрдХреНрд╖рддрд╛ рд╣рд╛рд╕рд┐рд▓ рдХреА - рд╡рд┐рд╖рдо рдЖрдзреБрдирд┐рдХ FPGA SoCs рдХреА рдХрдореНрдкреНрдпреВрдЯрд┐рдВрдЧ рдХреНрд╖рдорддрд╛рдПрдВ, рдЬреЛ рд▓рдЧрднрдЧ рдкреВрд░реНрдг рдХрдВрдкреНрдпреВрдЯрд┐рдВрдЧ рд╕рд┐рд╕реНрдЯрдо рд╣реИрдВред рд╣рд╛рд▓рд╛рдВрдХрд┐, FPGAs рд╣рд╛рдЗрдмреНрд░рд┐рдб рд╕рд┐рд╕реНрдЯрдо рдореЗрдВ рдЕрдиреНрдп рдЙрдкрдХрд░рдгреЛрдВ рдХреЗ рд╕рд╛рде рд╕рдлрд▓рддрд╛рдкреВрд░реНрд╡рдХ рд╕рдВрдпреЛрдЬрди рдХрд░рддреЗ рд╣реИрдВ, рдФрд░, рд╣рдорд╛рд░реА рд░рд╛рдп рдореЗрдВ, рдХрдВрдкреНрдпреВрдЯрд┐рдВрдЧ рдХреА рдкрджрд╛рдиреБрдХреНрд░рдо рдореЗрдВ рдЕрдкрдиреА рд╕рд╣реА рдЬрдЧрд╣ рдЦреЛрдЬрдиреЗ рдХреЗ рд▓рд┐рдП рдЕрднреА рд╢реБрд░реБрдЖрдд рдХрд░ рд░рд╣реЗ рд╣реИрдВред

рдЗрд╕рд▓рд┐рдП рд╣рдордиреЗ 22 рдЬрдирд╡рд░реА рдХреЛ рд╕реИрди рдЬреЛрд╕ рдореЗрдВ рдж рдиреЗрдХреНрд╕реНрдЯ рдПрдлрдкреАрдЬреАрдП рдкреНрд▓реЗрдЯрдлреЙрд░реНрдо рдХрд╛ рдЖрдпреЛрдЬрди рдХрд┐рдпрд╛ред рд╕реНрд╡рд╛рднрд╛рд╡рд┐рдХ рд░реВрдк рд╕реЗ, рджреБрдирд┐рдпрд╛ рдореЗрдВ FPGAs рдХреЗ рдореБрдЦреНрдп рдЖрдкреВрд░реНрддрд┐рдХрд░реНрддрд╛рдУрдВ рдореЗрдВ рд╕реЗ рдПрдХ рдФрд░ рдЗрд╕ рдХреНрд╖реЗрддреНрд░ рдореЗрдВ рдЕрдЧреНрд░рдгреА Xilinx рд╣реИред Xilinx рдХреЗ рд╡рд░рд┐рд╖реНрда рдЙрдкрд╛рдзреНрдпрдХреНрд╖ рдФрд░ CTO Ivo Bolsens рдиреЗ рд╕рдореНрдореЗрд▓рди рдореЗрдВ рдПрдХ рдкреНрд░рд╕реНрддреБрддрд┐ рджреА рдФрд░ рд╣рдореЗрдВ рдЖрдЬ рдЕрдкрдиреЗ рд╡рд┐рдЪрд╛рд░реЛрдВ рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдмрддрд╛рдпрд╛ рдХрд┐ рдХреИрд╕реЗ Xilinx рдбреЗрдЯрд╛ рдХреЗрдВрджреНрд░реЛрдВ рдХреЗ рд▓рд┐рдП рдкрд░рд┐рд╡рд░реНрддрдирд╢реАрд▓ рдХрдВрдкреНрдпреВрдЯрд┐рдВрдЧ рд╕рд┐рд╕реНрдЯрдо рдмрдирд╛рдиреЗ рдореЗрдВ рдорджрдж рдХрд░рддрд╛ рд╣реИред

рд╕рд┐рд╕реНрдЯрдо рдЖрд░реНрдХрд┐рдЯреЗрдХреНрдЯ рдФрд░ рдкреНрд░реЛрдЧреНрд░рд╛рдорд░ рдХреЛ рд╡рд┐рд╖рдо рдбреЗрдЯрд╛ рд╕реЗрдВрдЯрд░ рдореЗрдВ рдЖрдиреЗ рдХреЗ рд▓рд┐рдП рдкрд░реНрдпрд╛рдкреНрдд рд╕рдордп рд▓рдЧрд╛, рдЬреЛ рд╡рд┐рднрд┐рдиреНрди рдкреНрд░рдХрд╛рд░ рдХреА рдХрдВрдкреНрдпреВрдЯрд░ рдХреНрд╖рдорддрд╛рдУрдВ рдХреЛ рдкреНрд░рд╕реНрддреБрдд рдХрд░реЗрдЧрд╛ рдЬреЛ рдХрдВрдкреНрдпреВрдЯрд┐рдВрдЧ, рднрдВрдбрд╛рд░рдг рдФрд░ рдиреЗрдЯрд╡рд░реНрдХрд┐рдВрдЧ рдХреА рд╕рдорд╕реНрдпрд╛рдУрдВ рдХреЛ рд╣рд▓ рдХрд░рддреЗ рд╣реИрдВред рдпрд╣ рдЗрд╕ рддрдереНрдп рдХреЗ рдХрд╛рд░рдг рдЖрд╡рд╢реНрдпрдХ рд▓рдЧрддрд╛ рд╣реИ рдХрд┐ рд╡рд┐рднрд┐рдиреНрди CMOS рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддреЗ рд╣реБрдП рдореВрд░ рдХреЗ рдирд┐рдпрдо рдХрд╛ рдкрд╛рд▓рди рдХрд░рдирд╛ рдХрдард┐рди рд╣реЛрддрд╛ рдЬрд╛ рд░рд╣рд╛ рд╣реИред рдЬрдмрдХрд┐ рд╣рдорд╛рд░реА рднрд╛рд╖рд╛ рдЕрднреА рднреА рд╕реАрдкреАрдпреВ рд╕реЗ рдЬреБрдбрд╝реА рд╣реБрдИ рд╣реИ, рдФрд░ рд╣рдо рдЕрднреА рднреА "рдПрдкреНрд▓рд┐рдХреЗрд╢рди рддреНрд╡рд░рдг" рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдмрд╛рдд рдХрд░ рд░рд╣реЗ рд╣реИрдВ, рдЕрдХреЗрд▓реЗ рд╕реАрдкреАрдпреВ рдкрд░ рдХреНрдпрд╛ рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ, рдЗрд╕рдХреА рддреБрд▓рдирд╛ рдореЗрдВ рдХрд╛рд░реНрдпрдХреНрд░рдореЛрдВ рдХреЗ рд╕рдВрдЪрд╛рд▓рди рдореЗрдВ рд╕реБрдзрд╛рд░ рдХрд╛ рдЬрд┐рдХреНрд░ рд╣реИред рдХреБрдЫ рд╕рдордп рдмрд╛рдж, рдбреЗрдЯрд╛ рдХреЗрдВрджреНрд░ рдХрдВрдкреНрдпреВрдЯрд┐рдВрдЧ рд╢рдХреНрддрд┐, рдбреЗрдЯрд╛ рд╡реЗрдпрд░рд╣рд╛рдЙрд╕рд┐рдВрдЧ рдФрд░ рдкреНрд░реЛрдЯреЛрдХреЙрд▓ рдХреЗ рд╕реЗрдЯ рдореЗрдВ рдмрджрд▓ рдЬрд╛рдПрдВрдЧреЗ, рдЬреЛ рд╕рдм рдХреБрдЫ рдПрдХ рд╕рд╛рде рдЬреЛрдбрд╝ рджреЗрдВрдЧреЗ, рдФрд░ рд╣рдо "рдХрдВрдкреНрдпреВрдЯрд┐рдВрдЧ" рдФрд░ "рдПрдкреНрд▓рд┐рдХреЗрд╢рди" рдЬреИрд╕реЗ рд╢рдмреНрджреЛрдВ рдореЗрдВ рд╡рд╛рдкрд╕ рдЖ рдЬрд╛рдПрдВрдЧреЗред рд╣рд╛рдЗрдмреНрд░рд┐рдб рдХрдВрдкреНрдпреВрдЯрд┐рдВрдЧ рдЖрдЬ рдХреА рдХреНрд▓рд╛рдЙрдб рд╕реЗрд╡рд╛рдУрдВ рдХреЗ рд╕рдорд╛рди рд╣реЛрдЧреА,рдкрд╛рд░рдВрдкрд░рд┐рдХ рдпрд╛ рдЖрднрд╛рд╕реА рдорд╢реАрдиреЛрдВ рдХреЗ рдЖрдзрд╛рд░ рдкрд░ рдХрд╛рдо рдХрд░рдирд╛, рдФрд░ рдХреБрдЫ рдмрд┐рдВрджреБ рдкрд░ рд╣рдо рдЙрдирдХреЗ рдХрд╛рдо рдХрд╛ рд╡рд░реНрдгрди рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП "рдХрдВрдкреНрдпреВрдЯрд┐рдВрдЧ" рд╢рдмреНрдж рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░реЗрдВрдЧреЗред рдХреБрдЫ рдмрд┐рдВрджреБ рдкрд░ - рдФрд░ рд╢рд╛рдпрдж рдЗрд╕ рдпреБрдЧ рдХреЗ рдЖрдЧрдорди рдХреЛ FPGA рджреНрд╡рд╛рд░рд╛ рд╕рдХреНрд░рд┐рдп рд░реВрдк рд╕реЗ рд╕реБрд╡рд┐рдзрд╛рдЬрдирдХ рдмрдирд╛рдпрд╛ рдЬрд╛рдПрдЧрд╛ - рд╣рдо рдЗрд╕реЗ рдлрд┐рд░ рд╕реЗ рдбреЗрдЯрд╛ рдкреНрд░реЛрд╕реЗрд╕рд┐рдВрдЧ рдХрд╣реЗрдВрдЧреЗред

рдбреЗрдЯрд╛ рдХреЗрдВрджреНрд░реЛрдВ рдореЗрдВ FPGA рдХреЛ рд▓рд╛рдЧреВ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП, рдЖрдкрдХреЛ рдЕрдкрдиреА рдорд╛рдирд╕рд┐рдХрддрд╛ рдмрджрд▓рдиреЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реИред "рдЬрдм рдЖрдк рдЖрдЬ рдХреЗ рдЕрдиреБрдкреНрд░рдпреЛрдЧреЛрдВ рдХреЛ рдЧрддрд┐ рджреЗрдиреЗ рдХреЗ рддрд░реАрдХреЛрдВ рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рд╕реЛрдЪрддреЗ рд╣реИрдВ, рддреЛ рдЖрдкрдХреЛ рдЗрд╕ рдмрд╛рдд рдХреА рддрд╣ рддрдХ рдЬрд╛рдирд╛ рд╣реЛрдЧрд╛ рдХрд┐ рд╡реЗ рдХреИрд╕реЗ рдЪрд▓рддреЗ рд╣реИрдВ, рдХрд┐рди рд╕рдВрд╕рд╛рдзрдиреЛрдВ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рдХреНрдпрд╛ рд╕рдордп рд▓рдЧрддрд╛ рд╣реИ," рдмреЛрд▓рд╕реЗрди рдмрддрд╛рддреЗ рд╣реИрдВред - рдЖрдкрдХреЛ рдЙрд╕ рд╕рд╛рдорд╛рдиреНрдп рд╕рдорд╕реНрдпрд╛ рдХрд╛ рдЕрдзреНрдпрдпрди рдХрд░рдиреЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реИ рдЬрд┐рд╕реЗ рдЖрдк рд╣рд▓ рдХрд░рдиреЗ рдХрд╛ рдкреНрд░рдпрд╛рд╕ рдХрд░ рд░рд╣реЗ рд╣реИрдВред рдбреЗрдЯрд╛ рдХреЗрдВрджреНрд░реЛрдВ рдореЗрдВ рдЪрд▓ рд░рд╣реЗ рдХрдИ рдПрдкреНрд▓рд┐рдХреЗрд╢рди рдЖрдЬ рд╕реНрдХреЗрд▓реЗрдмрд▓ рд╣реИрдВ, рдмрдбрд╝реА рд╕рдВрдЦреНрдпрд╛ рдореЗрдВ рд╕рдВрд╕рд╛рдзрдиреЛрдВ рдкрд░ рдХрдмреНрдЬрд╛ рдХрд░ рд░рд╣реЗ рд╣реИрдВред рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, рдмрдбрд╝реА рд╕рдВрдЦреНрдпрд╛ рдореЗрдВ рдХрдВрдкреНрдпреВрдЯрд┐рдВрдЧ рдиреЛрдбреНрд╕ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдХреЗ рдорд╢реАрди рд▓рд░реНрдирд┐рдВрдЧ рдХреЛ рд▓реЗрдВред рд▓реЗрдХрд┐рди рддреНрд╡рд░рдг рдХреА рдмрд╛рдд рдХрд░реЗрдВ рддреЛ рд╣рдореЗрдВ рди рдХреЗрд╡рд▓ рддреНрд╡рд░рд┐рдд рдХрдВрдкреНрдпреВрдЯрд┐рдВрдЧ рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рд╕реЛрдЪрдирд╛ рд╣реЛрдЧрд╛, рдмрд▓реНрдХрд┐ рдмреБрдирд┐рдпрд╛рджреА рдврд╛рдВрдЪреЗ рдореЗрдВ рддреЗрдЬреА рд▓рд╛рдиреЗ рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рднреА рд╕реЛрдЪрдирд╛ рд╣реЛрдЧрд╛ред тАЭ

рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, рдЙрди рдорд╢реАрди рд▓рд░реНрдирд┐рдВрдЧ рдСрдкрд░реЗрд╢рдиреНрд╕ рдореЗрдВ, рдЬрд┐рдирдХрд╛ рдЕрднреНрдпрд╛рд╕ рдореЗрдВ рдмреЛрд▓реНрд╕реЗрди рдиреЗ рдЕрдзреНрдпрдпрди рдХрд┐рдпрд╛ рдерд╛, рд▓рдЧрднрдЧ 50% рд╕рдордп рдмрд┐рдЦрд░реА рд╣реБрдИ рдХрдВрдкреНрдпреВрдЯрд┐рдВрдЧ рд╢рдХреНрддрд┐ рдХреЗ рдмреАрдЪ рдбреЗрдЯрд╛ рдХреЛ рд╕реНрдерд╛рдирд╛рдВрддрд░рд┐рдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдмрд┐рддрд╛рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рдФрд░ рдХреЗрд╡рд▓ рд╢реЗрд╖ рдЖрдзрд╛ рд╕рдордп рд╕реНрд╡рдпрдВ рдЧрдгрдирд╛рдУрдВ рдкрд░ рд╡реНрдпрд░реНрде рд╣реЛрддрд╛ рд╣реИред

"рдпрд╣ рдпрд╣рд╛рдБ рд╣реИ, рдпрд╣ рдореБрдЭреЗ рд▓рдЧрддрд╛ рд╣реИ, рдХрд┐ FPGA рдорджрдж рдХрд░ рд╕рдХреЗрдЧрд╛, рдХреНрдпреЛрдВрдХрд┐ рд╣рдо рдХрдореНрдкреНрдпреВрдЯреЗрд╢рдирд▓ рдкрд╣рд▓реБрдУрдВ рдФрд░ рдЕрдиреБрдкреНрд░рдпреЛрдЧ рдХреЗ рд▓рд┐рдП рдбреЗрдЯрд╛ рдЯреНрд░рд╛рдВрд╕рдлрд░ рдкрд╣рд▓реБрдУрдВ рджреЛрдиреЛрдВ рдХрд╛ рдЕрдиреБрдХреВрд▓рди рдкреНрд░рджрд╛рди рдХрд░ рд╕рдХрддреЗ рд╣реИрдВред рдФрд░ рд╣рдо рдЗрд╕реЗ рд╕рд╛рдорд╛рдиреНрдп рдмреБрдирд┐рдпрд╛рджреА рдврд╛рдВрдЪреЗ рдХреЗ рд╕реНрддрд░ рдкрд░, рдФрд░ рдЪрд┐рдк рдХреЗ рд╕реНрддрд░ рдкрд░ рдХрд░ рд╕рдХрддреЗ рд╣реИрдВред рдпрд╣ FPGAs рдХреЗ рдорд╣рд╛рди рд▓рд╛рднреЛрдВ рдореЗрдВ рд╕реЗ рдПрдХ рд╣реИ рдЬреЛ рдЖрдкрдХреЛ рдПрдкреНрд▓рд┐рдХреЗрд╢рди рдХреА рд╡рд┐рд╢рд┐рд╖реНрдЯ рдЖрд╡рд╢реНрдпрдХрддрд╛рдУрдВ рдХреЗ рд▓рд┐рдП рд╕рдВрдЪрд╛рд░ рдиреЗрдЯрд╡рд░реНрдХ рдмрдирд╛рдиреЗ рдХреА рдЕрдиреБрдорддрд┐ рджреЗрддрд╛ рд╣реИред рдХреГрддреНрд░рд┐рдо рдмреБрджреНрдзрд┐ рдХреЗ рдХрд╛рдо рд╕реЗ рд╕рдВрдмрдВрдзрд┐рдд рдХрд╛рд░реНрдпреЛрдВ рдореЗрдВ рдбреЗрдЯрд╛ рдЖрдВрджреЛрд▓рди рдХреЗ рд╡рд┐рд╢рд┐рд╖реНрдЯ рдкреИрдЯрд░реНрди рдХреЛ рджреЗрдЦрддреЗ рд╣реБрдП, рдореБрдЭреЗ рд╕реНрд╡рд┐рдЪ рдкрд░ рдЖрдзрд╛рд░рд┐рдд рдПрдХ рдЬрдЯрд┐рд▓ рд╡рд╛рд╕реНрддреБрдХрд▓рд╛ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рдирд╣реАрдВ рджрд┐рдЦрддреА рд╣реИред рдЖрдк рдбреЗрдЯрд╛ рдХреЗ рдПрдХ рдмрдбрд╝реЗ рдкреНрд░рд╡рд╛рд╣ рдХреЗ рд╕рд╛рде рдПрдХ рдиреЗрдЯрд╡рд░реНрдХ рдХрд╛ рдирд┐рд░реНрдорд╛рдг рдХрд░ рд╕рдХрддреЗ рд╣реИрдВред рдпрд╣ рддрдВрддреНрд░рд┐рдХрд╛ рдиреЗрдЯрд╡рд░реНрдХ рдХреЗ рдкреНрд░рд╢рд┐рдХреНрд╖рдг рдХреЗ рдХрд╛рд░реНрдпреЛрдВ рдкрд░ рд▓рд╛рдЧреВ рд╣реЛрддрд╛ рд╣реИ - рдЖрдк рдкреИрдХреЗрдЯ рдЖрдХрд╛рд░ рдХреЗ рд╕рд╛рде рдПрдХ рдЬрд╛рд▓ рдиреЗрдЯрд╡рд░реНрдХ рдХрд╛ рдирд┐рд░реНрдорд╛рдг рдХрд░ рд╕рдХрддреЗ рд╣реИрдВ рдЬреЛ рдПрдХ рд╡рд┐рд╢рд┐рд╖реНрдЯ рдХрд╛рд░реНрдп рдХреЗ рд▓рд┐рдП рдЕрдиреБрдХреВрд▓ рд╣реИред FPGA рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдХреЗ, рдЖрдк рдПрдХ рд╡рд┐рд╢рд┐рд╖реНрдЯ рдПрдкреНрд▓рд┐рдХреЗрд╢рди рдХреЗ рд▓рд┐рдП рдбреЗрдЯрд╛ рдЯреНрд░рд╛рдВрд╕рдлрд░ рдкреНрд░реЛрдЯреЛрдХреЙрд▓ рдФрд░ рд╕рд░реНрдХрд┐рдЯ рдЯреЛрдкреЛрд▓реЙрдЬреА рдХреЛ рд╕реНрдХреЗрд▓ рдФрд░ рдлрд╛рдЗрди-рдЯреНрдпреВрди рдХрд░ рд╕рдХрддреЗ рд╣реИрдВредрдФрд░ рдорд╢реАрди рд╕реАрдЦрдиреЗ рдХреЗ рдорд╛рдорд▓реЗ рдореЗрдВ, рдпрд╣ рднреА рд╕реНрдкрд╖реНрдЯ рд╣реИ рдХрд┐ рд╣рдореЗрдВ рджреЛрд╣рд░реЗ-рд╕рдЯреАрдХ рдлрд╝реНрд▓реЛрдЯрд┐рдВрдЧ-рдкреЙрдЗрдВрдЯ рдирдВрдмрд░реЛрдВ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рдирд╣реАрдВ рд╣реИ, рдФрд░ рд╣рдо рдЗрд╕реЗ рднреА рд╕рдорд╛рдпреЛрдЬрд┐рдд рдХрд░ рд╕рдХрддреЗ рд╣реИрдВред "

FPGA рдФрд░ CPU рдпрд╛ рд╡рд┐рд╢реЗрд╖ ASIC рдХреЗ рдмреАрдЪ рдХрд╛ рдЕрдВрддрд░ рдпрд╣ рд╣реИ рдХрд┐ рдЙрддреНрдкрд╛рджрди рдХреЗ рджреМрд░рд╛рди рдмрд╛рдж рд╡рд╛рд▓реЗ рдкреНрд░реЛрдЧреНрд░рд╛рдо рдХрд┐рдП рдЬрд╛рддреЗ рд╣реИрдВ, рдФрд░ рдЙрд╕рдХреЗ рдмрд╛рдж рдЖрдк рдЧрдгрдирд╛ рдХрд┐рдП рдЧрдП рдбреЗрдЯрд╛ рдпрд╛ рдЧрдгрдирд╛ рдХрд┐рдП рдЧрдП рддрддреНрд╡реЛрдВ рдХреЗ рдкреНрд░рдХрд╛рд░ рдпрд╛ рдбрд┐рд╡рд╛рдЗрд╕ рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ рдЬрд╛рдиреЗ рд╡рд╛рд▓реЗ рдбреЗрдЯрд╛ рд╕реНрдЯреНрд░реАрдо рдХреА рдкреНрд░рдХреГрддрд┐ рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдЕрдкрдирд╛ рд╡рд┐рдЪрд╛рд░ рдирд╣реАрдВ рдмрджрд▓ рд╕рдХрддреЗред рдЕрдЧрд░ рдХрд╛рдо рдХреА рд╕реНрдерд┐рддрд┐рдпрд╛рдВ рдмрджрд▓рддреА рд╣реИрдВ рддреЛ FPGAs рдЖрдкрдХреЛ рдЕрдкрдирд╛ рджрд┐рдорд╛рдЧ рдмрджрд▓рдиреЗ рджреЗрддрд╛ рд╣реИред

рдЕрддреАрдд рдореЗрдВ, рдпрд╣ рд▓рд╛рдн рдорд╣рдВрдЧрд╛ рдерд╛ рдЬрдм FPGA рдХреЗ рд▓рд┐рдП рдкреНрд░реЛрдЧреНрд░рд╛рдорд┐рдВрдЧ рджрд┐рд▓ рдХреЗ рдмреЗрд╣реЛрд╢ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдЗрд░рд╛рджрд╛ рдирд╣реАрдВ рдерд╛ред рдЖрдкрдХреЛ FPGAs рдХреЗ рд▓рд┐рдП рдХрдВрдкрд╛рдЗрд▓рд░ рдЦреЛрд▓рдиреЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реИ рддрд╛рдХрд┐ рд╡реЗ C, C ++ рдпрд╛ Python рдореЗрдВ CPU рдХреЗ рд▓рд┐рдП рд╕рдорд╛рдирд╛рдВрддрд░ рдХрдВрдкреНрдпреВрдЯрд┐рдВрдЧ рдПрдкреНрд▓рд┐рдХреЗрд╢рди рдмрдирд╛рдиреЗ рдХреЗ рд▓рд┐рдП рдкреНрд░реЛрдЧреНрд░рд╛рдорд░ рджреНрд╡рд╛рд░рд╛ рдЙрдкрдпреЛрдЧ рдХрд┐рдП рдЬрд╛рдиреЗ рд╡рд╛рд▓реЗ рдЯреВрд▓ рдХреЗ рд╕рд╛рде рдмреЗрд╣рддрд░ рддрд░реАрдХреЗ рд╕реЗ рдПрдХреАрдХреГрдд рд╣реЛ рд╕рдХреЗрдВ, рдФрд░ рдХреБрдЫ рдХрд╛рдо рдкреБрд╕реНрддрдХрд╛рд▓рдпреЛрдВ рдХреЛ рджреЗ рд╕рдХреЗрдВ рдЬреЛ FPGAs рдкрд░ рдкреНрд░рдХреНрд░рд┐рдпрд╛рдУрдВ рдХреЛ рдЧрддрд┐ рдкреНрд░рджрд╛рди рдХрд░рддреЗ рд╣реИрдВред рдпрд╣ рд╡рд╣реА рд╣реИ рдЬреЛ Vitis рдорд╢реАрди рд▓рд░реНрдирд┐рдВрдЧ рд╕реНрдЯреИрдХ рд╣реИ, рдЬреЛ Caffe рдФрд░ TensorFlow рдХреЗ рд░реВрдк рдореЗрдВ MO рдХреЗ рд▓рд┐рдП рдРрд╕реЗ рдкреНрд▓реЗрдЯрдлрд╝реЙрд░реНрдо рдХрд╛ рдЖрдзрд╛рд░ рд╣реИ, рдФрд░ рдЬрд┐рд╕рдореЗрдВ рд╕рд╛рдзрд╛рд░рдг AI рдореЙрдбрд▓ рд▓реЙрдиреНрдЪ рдХрд░рдиреЗ рдпрд╛ рд╡реАрдбрд┐рдпреЛ рдЯреНрд░рд╛рдВрд╕рдХреЛрдбрд┐рдВрдЧ, рд╡реАрдбрд┐рдпреЛ рдкрд░ рдСрдмреНрдЬреЗрдХреНрдЯ рдкрд╣рдЪрд╛рди, рдбреЗрдЯрд╛ рд╡рд┐рд╢реНрд▓реЗрд╖рдг рдЬреИрд╕реЗ рдХрд╛рд░реНрдпреЛрдВ рдХреЗ рд▓рд┐рдП FPGA рдХреНрд╖рдорддрд╛рдУрдВ рдХреЛ рдЬреЛрдбрд╝рдиреЗ рдХреЗ рд▓рд┐рдП рдкреБрд╕реНрддрдХрд╛рд▓рдп рд╣реИрдВ, рдЗрд╕ рдореЗрдВ рд▓рдЧреЗ рд╣реБрдП рд╣реИрдВред , рд╡рд┐рддреНрддреАрдп рдЬреЛрдЦрд┐рдо рдкреНрд░рдмрдВрдзрди рдФрд░ рдХрд┐рд╕реА рднреА рддреАрд╕рд░реЗ рдкрдХреНрд╖ рдХреЗ рдкреБрд╕реНрддрдХрд╛рд▓рдпред

рдпрд╣ рдЕрд╡рдзрд╛рд░рдгрд╛ Nvidia рд╕реЗ CUDA рдкреНрд░реЛрдЬреЗрдХреНрдЯ рд╕реЗ рдмрд╣реБрдд рдЕрд▓рдЧ рдирд╣реАрдВ рд╣реИ, рджрд╕ рд╕рд╛рд▓ рдкрд╣рд▓реЗ рд▓реЙрдиреНрдЪ рд╣реБрдИ, рдФрд░ GPU рддреНрд╡рд░рдХ рдХреЗ рд╕рдорд╛рдирд╛рдВрддрд░ рдХрдВрдкреНрдпреВрдЯрд┐рдВрдЧ рдХреЛ рд╕реНрдерд╛рдирд╛рдВрддрд░рд┐рдд рдХрд░ рд░рд╣рд╛ рд╣реИ, рдпрд╛ AMD рд╕реЗ ROCm рдЯреВрд▓рдХрд┐рдЯ, рдпрд╛ рдЗрдВрдЯреЗрд▓ рдкреНрд░реЛрдЬреЗрдХреНрдЯ, OneAPI рдХреЗ рд╡рд╛рджреЛрдВ рд╕реЗ, рдЬреЛ рдЕрд▓рдЧ-рдЕрд▓рдЧ CPU, GPU рдФрд░ рдкрд░ рдЪрд▓рдирд╛ рдЪрд╛рд╣рд┐рдП FPGA

рдПрдХрдорд╛рддреНрд░ рд╕рд╡рд╛рд▓ рдпрд╣ рд╣реИ рдХрд┐ рдЗрди рд╕рднреА рдЙрдкрдХрд░рдгреЛрдВ рдХреЛ рдПрдХ рд╕рд╛рде рдХреИрд╕реЗ рдЬреЛрдбрд╝рд╛ рдЬрд╛рдПрдЧрд╛ рддрд╛рдХрд┐ рдХреЛрдИ рднреА рдЕрдкрдиреЗ рд╡рд┐рд╡реЗрдХ рдкрд░ рдХрдВрдкреНрдпреВрдЯрд┐рдВрдЧ рд╢рдХреНрддрд┐ рдХрд╛ рдПрдХ рд╕реЗрдЯ рдкреНрд░реЛрдЧреНрд░рд╛рдо рдХрд░ рд╕рдХреЗред рдпрд╣ рдорд╣рддреНрд╡рдкреВрд░реНрдг рд╣реИ рдХреНрдпреЛрдВрдХрд┐ FPGAs рдХрд┐рд╕реА рднреА рдЙрдкрд▓рдмреНрдз рд╕реАрдкреАрдпреВ рдХреА рддреБрд▓рдирд╛ рдореЗрдВ рдЕрдзрд┐рдХ рдЬрдЯрд┐рд▓, рдмрд╣реБрдд рдЕрдзрд┐рдХ рдЬрдЯрд┐рд▓ рд╣реЛ рдЧрдП рд╣реИрдВред рд╡реЗ рд╕рдмрд╕реЗ рдЙрдиреНрдирдд рддрдХрдиреАрдХреА рдкреНрд░рдХреНрд░рд┐рдпрд╛рдУрдВ рдХреЗ рд╕рд╛рде рдФрд░ рд╕рдмрд╕реЗ рдЖрдзреБрдирд┐рдХ рдЪрд┐рдк рдкреИрдХреЗрдЬрд┐рдВрдЧ рдкреНрд░реМрджреНрдпреЛрдЧрд┐рдХрд┐рдпреЛрдВ рдХреА рд╕рд╣рд╛рдпрддрд╛ рд╕реЗ рдмрдиреЗ рд╣реИрдВред рдФрд░ рд╡реЗ рдЕрдкрдиреЗ рдЖрд▓рд╛ рдХреЛ рдЦреЛрдЬ рд▓реЗрдВрдЧреЗ, рдХреНрдпреЛрдВрдХрд┐ рд╣рдо рдЕрдм рд╕рдордп, рдкреИрд╕рд╛, рдКрд░реНрдЬрд╛ рдФрд░ рдмреБрджреНрдзрд┐рдорддреНрддрд╛ рдмрд░реНрдмрд╛рдж рдирд╣реАрдВ рдХрд░ рд╕рдХрддреЗ рд╣реИрдВ - рдпреЗ рд╕рднреА рдмрд╣реБрдд рдорд╣рдВрдЧреЗ рд╕рдВрд╕рд╛рдзрди рд╣реИрдВред

"FPGA рддрдХрдиреАрдХреА рд▓рд╛рдн рдкреНрд░рджрд╛рди рдХрд░рддрд╛ рд╣реИ," Bolsens рдХрд╣рддреЗ рд╣реИрдВред - рдФрд░ рдпрд╣ рдЕрдиреБрдХреВрд▓рдирд╢реАрд▓рддрд╛ рдФрд░ рдкрдардиреАрдпрддрд╛ рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рд╕рд┐рд░реНрдл рд╕рд╛рдзрд╛рд░рдг рд╡рд┐рдЬреНрдЮрд╛рдкрди рдирд╣реАрдВ рд╣реИред рд╕рднреА рдорд╣рддреНрд╡рдкреВрд░реНрдг рдЕрдиреБрдкреНрд░рдпреЛрдЧреЛрдВ рдореЗрдВ - рдорд╢реАрди рд▓рд░реНрдирд┐рдВрдЧ, рдЧреНрд░рд╛рдл рд╡рд┐рд╢реНрд▓реЗрд╖рдг, рд╣рд╛рдИ-рд╕реНрдкреАрдб рдЯреНрд░реЗрдбрд┐рдВрдЧ, рдЖрджрд┐ред - рдЙрдирдХреЗ рдкрд╛рд╕ рдПрдХ рд╡рд┐рд╢рд┐рд╖реНрдЯ рдХрд╛рд░реНрдп рдХреЗ рд▓рд┐рдП рди рдХреЗрд╡рд▓ рдбреЗрдЯрд╛ рд╡рд┐рддрд░рдг рдкрде рдХреЛ рдЕрдиреБрдХреВрд▓рд┐рдд рдХрд░рдиреЗ рдХрд╛ рдЕрд╡рд╕рд░ рд╣реИ, рдмрд▓реНрдХрд┐ рдореЗрдореЛрд░реА рдЖрд░реНрдХрд┐рдЯреЗрдХреНрдЪрд░ рднреА рд╣реИ - рдЬрд┐рд╕ рддрд░рд╣ рд╕реЗ рдЪрд┐рдк рдХреЗ рднреАрддрд░ рдбреЗрдЯрд╛ рдЪрд▓рддрд╛ рд╣реИред рдФрд░ FPGA рдореЗрдВ рдЕрдиреНрдп рдЙрдкрдХрд░рдгреЛрдВ рдХреА рддреБрд▓рдирд╛ рдореЗрдВ рдмрд╣реБрдд рдЕрдзрд┐рдХ рдореЗрдореЛрд░реА рд╣реИред рдпрд╣ рднреА рдзреНрдпрд╛рди рджрд┐рдпрд╛ рдЬрд╛рдирд╛ рдЪрд╛рд╣рд┐рдП рдХрд┐ рдпрджрд┐ рдХрд╛рд░реНрдп рдПрдХ FPGA рдореЗрдВ рдлрд┐рдЯ рдирд╣реАрдВ рд╣реЛрддрд╛ рд╣реИ, рддреЛ рдЖрдк рдХрдИ рд╕реАрдкреАрдпреВ рдпрд╛ рдЬреАрдкреАрдпреВ рдореЗрдВ рдХрд╛рд░реНрдпреЛрдВ рдХреЛ рд╕реНрдХреЗрд▓ рдХрд░рддреЗ рд╕рдордп рд╣реЛрдиреЗ рд╡рд╛рд▓реЗ рдиреБрдХрд╕рд╛рди рд╕реЗ рдирд┐рдкрдЯрдиреЗ рдХреЗ рд▓рд┐рдП рдмрд┐рдирд╛ рдХрдИ рдЪрд┐рдкреНрд╕ рдХреЗ рдкреИрдорд╛рдиреЗ рдкрд░ рдХрд░ рд╕рдХрддреЗ рд╣реИрдВред "

Source: https://habr.com/ru/post/undefined/


All Articles