Der Beginn des Krieges der technologischen Prozesse: 5 nm und 3 nm

Neue Transistorstrukturen, neue Werkzeuge und Prozesse tauchen am Horizont auf - und damit viele Probleme


Mehrere Fabriken versuchen, die 5-nm-Prozesstechnologie auf den Markt zu bringen, aber ihre Kunden mĂŒssen sich entscheiden, ob sie neue Chips fĂŒr aktuelle Transistoren entwickeln oder auf neue Chips umsteigen möchten, die in der 3-nm-Prozesstechnologie entwickelt wurden.

FĂŒr den Übergang mĂŒssen Sie entweder den aktuellen FinFET um 3 nm erweitern oder die neue Gate-Allround-FET-, GAA-FET] -Technologie um 3 nm oder 2 nm implementieren. GAA-FET ist der nĂ€chste Evolutionsschritt im Vergleich zu FinFET. Sie arbeiten schneller, aber diese neuen Transistoren sind komplizierter und teurer in der Herstellung, und das Umschalten auf sie kann zu schmerzhaft sein. Andererseits entwickelt die Industrie neue Technologien zum Ätzen, Strukturieren usw., um den Weg zu diesen neuen technologischen Prozessen freizumachen.

Die Freigabedaten fĂŒr diese GAA-FETs variieren von Fabrik zu Fabrik. Samsung und TSMC machen FinFET bei 7 nm und planen in diesem Jahr, FinFET bei 5 nm neu zu gestalten und Chips in einem Halbschrittbereich von 5 nm herzustellen. Solche technischen Prozesse verbessern sowohl die Betriebsgeschwindigkeit als auch den Energieverbrauch.

In Bezug auf 3 nm plant Samsung, in ein oder zwei Jahren auf FAN-NanoblĂ€tter umzusteigen - einen neuen Typ von GAA-Transistoren. TSMC plant, FinFET zum ersten Mal bei 3 nm freizugeben. TSMC wird GAA als nĂ€chsten Schritt bei 3 nm oder 2 nm veröffentlichen, wie viele Analysten und AusrĂŒstungslieferanten denken.

"TSMC beschleunigt die Entwicklung von FinFETs bei 3 nm, bei denen es sich um geschrumpfte Versionen von 5 nm handelt", sagte Handel Jones, IBS Director. - Die Produktion der ersten Testkopien von finFET bei 3 nm TSMC wird 2020 beginnen. Die industrielle Produktion ist fĂŒr das 3. Quartal 2021 geplant, ein Viertel frĂŒher als der Start der 3-nm-Prozesstechnologie von Samsung. "Die GAA-Entwicklung bei TSMC liegt 12 bis 18 Monate hinter Samsung zurĂŒck, aber eine aggressive Strategie fĂŒr die Veröffentlichung von FinFET bei 3 nm kann diese LĂŒcke ausgleichen."

TSMC bewertet seine Optionen weiterhin bei 3 nm, und die PlĂ€ne können sich noch Ă€ndern. Das Unternehmen Ă€ußert sich zwar nicht zur Situation, verspricht aber, seine PlĂ€ne fĂŒr 3 nm bald bekannt zu geben. Trotzdem ist der Übergang von TSMC zu 3 nm FinFET ein logischer Schritt. Die Umstellung auf neue Transistoren kann sich nachteilig auf die Kunden auswirken. Letztendlich wird der FinFET jedoch erschöpft sein, sodass TSMC keine andere Wahl hat, als auf GAA umzusteigen.

Andere Unternehmen entwickeln ebenfalls fortschrittliche Prozesse. Intel, das gelegentlich in der kommerziellen Produktion tÀtig ist, produziert Chips bei 10 nm und untersucht 7 nm im Labor. WÀhrenddessen stellt SMIC einen FinFET bei 16 nm / 12 nm her, wÀhrend im Labor 10 nm / 7 nm untersucht werden.

Alle fortschrittlichen Prozesse erfordern erhebliche finanzielle Mittel, und nicht alle Chips erfordern 3 nm oder andere fortschrittliche Technologien. Steigende Preise zwingen Unternehmen, andere Entwicklungsoptionen zu prĂŒfen. Eine andere Möglichkeit, die Vorteile der Skalierung zu nutzen, sind neue Arten fortschrittlicher Chip-Pakete. Mehrere Unternehmen entwickeln solche FĂ€lle.


Betriebsspannung verschiedener Technologien

Ist die Skalierung beendet?


Chips bestehen aus Transistoren, Kontakten und deren Verbindungen. Transistoren spielen die Rolle von Schaltern. Fortschrittliche Chips können bis zu 35 Milliarden Transistoren enthalten.

Die AnschlĂŒsse oben am Transistor bestehen aus winzigen KupferdrĂ€hten, die elektrische Signale zwischen Transistoren leiten. Transistoren und Verkabelung sind durch eine MOL-Schicht (Intermediate Middle-of-Line) verbunden. MOL besteht aus winzigen Kontakten.

Die Skalierung integrierter Schaltkreise (ICs), ihre traditionelle Entwicklungsmethode, besteht darin, die GrĂ¶ĂŸe der ICs bei jedem neuen Herstellungsprozess zu reduzieren und sie auf einen monolithischen Kristall zu packen.

Zu diesem Zweck stellen die Chiphersteller alle 18 bis 24 Monate ein neues Verfahren vor, das eine zunehmende Dichte an Transistorverpackungen bietet. Jeder Prozess erhÀlt einen numerischen Namen. Anfangs waren diese Namen mit der LÀnge des Transistorventils verbunden.

FĂŒr jeden nachfolgenden Prozess skalieren die Hersteller die Transistorspezifikationen um das 0,7-fache, wodurch die Industrie die Leistung bei gleichem Stromverbrauch um 40% steigern und die GrĂ¶ĂŸe um 50% reduzieren kann. Durch die Skalierung von Chips können Sie neue, funktionalere elektronische Produkte herausbringen.

Die Formel funktionierte und die Chiphersteller Ă€nderten schrittweise die technologischen Prozesse. Bei der Wende von 20 nm kam es jedoch zu einer Änderung - herkömmliche flache Transistoren haben ihre gesamte Ressource ausgewĂ€hlt. Seit 2011 haben die Hersteller auf finFET umgestellt, wodurch sie GerĂ€te weiter skalieren konnten.

Die Herstellung von FinFET ist jedoch teurer. Infolgedessen sind die Kosten fĂŒr Forschung und Entwicklung in die Höhe geschossen. Daher haben sich die Übergangszeiten von einem technischen Prozess zu einem anderen von 18 auf 30 oder mehr Monate erhöht.

Intel ist dem allgemeinen Trend der 0,7-fachen Skalierung gefolgt. Ausgehend von 16 nm / 14 nm haben andere Hersteller diese Formel jedoch verschoben, was zu Verwirrung fĂŒhrte.

Zu diesem Zeitpunkt begann die Nummerierung der technischen Prozesse zu verschwimmen und verlor den Kontakt zu den Spezifikationen der Transistoren. Heute sind diese Namen nur noch Marketingbegriffe. "Die Bezeichnung technologischer Prozesse wird immer weniger aussagekrĂ€ftig und verstĂ€ndlich", sagte Samuel Vaughn, Analyst bei Gartner. - Beispielsweise gibt es bei 5 nm oder 3 nm keine einzige geometrische GrĂ¶ĂŸe, die 5 oder 3 nm entspricht. DarĂŒber hinaus werden Prozesse verschiedener Hersteller immer unterschiedlicher. Bei gleicher Prozesstechnologie unterscheidet sich die Chipleistung zwischen TSMC, Samsung und natĂŒrlich Intel.

Die Skalierung verlangsamt sich in fortschrittlichen Fertigungsprozessen. FĂŒr einen 7-nm-Prozess betrĂ€gt der Gate-Schritt des Transistors [Contacted Poly Pitch, CPP] 56-57 nm mit einem Metallschritt von 40 nm gemĂ€ĂŸ IC Knowledge und TEL. FĂŒr 5 nm betrĂ€gt der CPP ungefĂ€hr 45-50 nm mit einem metallischen Abstand von 26 nm. CPP, eine SchlĂŒsselmetrik fĂŒr Transistoren, bezieht sich auf den Abstand zwischen Source- und Drain-Kontakten.

Außerdem sieht das VerhĂ€ltnis von Kosten und Geschwindigkeit ĂŒberhaupt nicht so aus, weshalb viele glauben, dass Moores Gesetz sich bereits selbst ĂŒberlebt hat.

„Moores Gesetz ist eigentlich nur eine Beobachtung, die zu einer sich selbst erfĂŒllenden Prophezeiung geworden ist, die die Halbleiterindustrie in Bewegung hĂ€lt. Der wirtschaftliche Aspekt von Moores Gesetz begann sich mit den steigenden Kosten fĂŒr Mehrfachmusterung und extreme Ultraviolettlithographie (EUV) zu verschlechtern, sagte Douglas Guerero, Chief Technology Officer bei Brewer Science. "Neue Architekturen und Designs werden die Rechenleistung erhöhen, aber nicht mehr skalierbar sein." Dies bedeutet, dass Chips in Zukunft die Rechenleistung erhöhen werden, ihre Kosten jedoch nicht unbedingt mit der gleichen Geschwindigkeit wie zuvor sinken werden. "

Das Skalieren hört nicht ganz auf. KI, Server und Smartphones erfordern immer schnellere Chips und fortschrittliche Herstellungsprozesse. „Vor ungefĂ€hr zehn Jahren fragten einige Leute: Wer braucht noch mehr Transistoren? Einige dachten, dass es auf der Welt keine Ideen mehr gibt, was mit schnelleren Computern zu tun ist, außer fĂŒr vollstĂ€ndig exotische Anwendungen “, sagte Aki Fujimura, Direktor von D2S. - FĂŒr das Internet der Dinge ĂŒbertreffen heute niedrigere Kosten, eine ziemlich gute Leistung und IntegrationsfĂ€higkeiten eine einfache Erhöhung der Dichte. FĂŒr die Herstellung schnellerer und wirtschaftlicherer Chips, bei denen die Kosten fĂŒr Transistoren sinken, sind jedoch schnellere Transistoren erforderlich. "

Offensichtlich sind fortschrittliche technologische Prozesse nicht fĂŒr alles erforderlich. FĂŒr Chips, die nach etablierten technologischen Verfahren hergestellt werden, besteht eine hohe Nachfrage. "Dazu gehören ICs fĂŒr die Arbeit mit Funkwellen und OLED-Displays in Smartphones sowie ICs fĂŒr die Energieverwaltung, die in Computern und Solid-State-Laufwerken verwendet werden", sagte Jason Vaughn, einer der PrĂ€sidenten von UMC.

FinFET-Skalierung


In Bezug auf die Chipskalierung folgten die Hersteller jahrelang demselben Muster mit identischen Transistortypen. Im Jahr 2011 wechselte Intel bei 22 nm und dann bei 16 nm / 14 nm zu FinFET.

Im FinFET wird der Strom gesteuert, indem Ventile an allen drei Seiten der Finne angebracht werden. FinFET hat 2 bis 4 Lamellen. Jedes hat seine eigene Breite, Höhe und Form.

Der FinFET der ersten Generation von Intel bei 22 nm hatte einen Finnenabstand von 60 nm und eine Höhe von 34 nm. Dann waren bei 14 nm der Abstand und die Höhe 42 nm gleich.

Intel hat Flossen grĂ¶ĂŸer und dĂŒnner gemacht, um den FinFET zu skalieren. „Durch die Skalierung des FinFET werden die Querabmessungen des GerĂ€ts verringert, die Dichte ĂŒber die FlĂ€che erhöht und die Rippenhöhe erhöht die Leistung“, schrieb Nerissa Draeger, Direktorin fĂŒr UniversitĂ€tsbeziehungen bei Lam Research, in ihrem Blog.

Bei der 10-nm / 7-nm-Prozesstechnologie gingen die Chiphersteller bei der FinFET-Skalierung den gleichen Weg. Im Jahr 2018 begann TSMC mit der Produktion der ersten 7-nm-FinFETs, gefolgt von Samsung. Intel hat im vergangenen Jahr nach mehreren Verzögerungen die Produktion bei 10 nm aufgenommen.

Im Jahr 2020 wird der Fabrikwettbewerb zunehmen. Samsung und TSMC bereiten 5-nm- und verschiedene halb-ganzzahlige Herstellungsprozesse vor. Derzeit laufen Studien zu 3 nm.

Alle Prozesse sind teuer. Die Kosten fĂŒr die Entwicklung eines 3-nm-Chips betragen 650 Millionen US-Dollar - verglichen mit 436,3 Millionen US-Dollar fĂŒr ein 5-nm-GerĂ€t und 222,3 Millionen US-Dollar fĂŒr ein 7-nm-GerĂ€t. Dies sind die Kosten einer solchen Entwicklung, nach der die Technologie ein Jahr spĂ€ter in Produktion geht.

Im Vergleich zu 7 nm wird der 5-nm-FinFET von Samsung den logischen Bereich um 25% und den Energieverbrauch um 20% oder die Geschwindigkeit um 10% erhöhen.

Im Vergleich dazu bietet finMET 5 mm von TSMC „eine Geschwindigkeit von 15% mehr bei gleichem Stromverbrauch oder eine Reduzierung des Stromverbrauchs um 30% bei gleicher Geschwindigkeit bei 1,84-facher Erhöhung der logischen Dichte“, sagte Joffrey Yep, Chief Executive Officer von fortschrittliche Technologie bei TSMC.

Bei den technologischen Prozessen bei 7 nm und 5 nm haben die Chiphersteller große Änderungen vorgenommen. Um geschĂ€ftskritische Merkmale in Chips herzustellen, sind die beiden Unternehmen von der traditionellen 193-nm-Lithographie zur extremen Ultraviolettlithographie (EUV) ĂŒbergegangen. EUV verwendet WellenlĂ€ngen von 13,5 nm, was den Prozess vereinfacht.

EUV löst jedoch nicht alle Probleme der Chipskalierung. „Die Lösung dieser Probleme erfordert eine Vielzahl von Technologien, nicht nur die Skalierung, einschließlich der Verwendung neuer Materialien, neuer Arten integrierter nichtflĂŒchtiger Speicher und fortschrittlicher Logikarchitekturen, neuer ÄtzansĂ€tze, Innovationen bei der Herstellung von GehĂ€usen und Chiplet-Designs“, sagte Regina Fried, Managing Director of Technology bei Angewandte Materialien.

WĂ€hrenddessen bereiten Samsung und TSMC hinter den Kulissen ihre 3-nm-Prozessoptionen vor. FrĂŒher gingen die Chiphersteller denselben Weg, heute gehen ihre Wege jedoch auseinander.

"3 nm gibt es in verschiedenen Geschmacksrichtungen wie FinFET und GAA", sagte Vaughn. „Dadurch können Kunden verschiedene Kombinationen aus Kosten, Dichte, Stromverbrauch und Geschwindigkeit auswĂ€hlen, um ihre Anforderungen zu erfĂŒllen.“

Samsung verspricht die EinfĂŒhrung eines Nanometer-FET bei 3 nm. TSMC arbeitet ebenfalls daran, plant jedoch, den Einsatz von FinFET auf die nĂ€chste Generation auszudehnen. "TSMC wird im dritten Quartal 2021 einen 3-nm-FinFET haben", sagte Jones. "Die GAA von TSA wird 2022–2023 erscheinen."

Hier sollten Kunden von Fabriken die Vor- und Nachteile hinsichtlich Kosten und technischer Kompromisse abwÀgen. Die finFET-Erweiterung ist ein sicherer Weg. "Viele Kunden sehen TSMC als den am wenigsten riskanten Produzenten", sagte Jones.

GAA bietet jedoch eine leichte Leistungssteigerung. "Der GAA hat eine um 3 nm niedrigere Schwellenspannung und möglicherweise einen um 15 bis 20% geringeren Stromverbrauch als ein 3-nm-FinFET", sagte Jones. "Der Geschwindigkeitsunterschied wird jedoch bei 8% liegen, da MOL und BEOL gleich sind."

Backend-of-the-Line (BEOL) und MOL sind EngpÀsse bei fortschrittlichen Chips. Das Problem von MOL ist der Kontaktwiderstand.

BEOL ist die Produktionsphase, in der die Verkabelung angeschlossen ist. Aufgrund ihrer allmÀhlichen Verringerung treten Verzögerungen auf, die mit dem kapazitiven Widerstand verbunden sind. FinFET und GAA verwenden unterschiedliche Transistoren, aber ihre Verbindungsschemata im 3-nm-Herstellungsprozess sind wahrscheinlich nahezu gleich. Kapazitive Verzögerungen schÀdigen beide Transistortypen.

Es gibt andere Probleme. Der FinFET erschöpft seine FÀhigkeiten, wenn die Finnenbreite 5 nm erreicht. Der FinFET bei 5 nm / 3 nm Herstellungsprozessen liegt bereits an dieser Grenze.

DarĂŒber hinaus können FinFETs bei 3 nm aus einer einzelnen Finne bestehen, verglichen mit zwei oder mehr Finnen in anderen Herstellungsverfahren. „Um den FinFET um 3 nm zu erweitern, benötigen wir spezielle Technologien, die die Leistung einer einzelnen Finne erhöhen und StörphĂ€nomene reduzieren“, sagte Naoto Horiguchi, CMOS-Direktor bei Imec.

Eine Möglichkeit, den finFET auf 3 nm zu erweitern, besteht darin, fĂŒr den p-Kanal auf Germanium umzuschalten. Ein FinFET bei 3 nm mit KanĂ€len mit hoher Bandbreite trĂ€gt zur Erhöhung der Geschwindigkeit der Chips bei, es treten jedoch bestimmte Integrationsprobleme auf.

Übergang zu NanoblĂ€ttern


Letztendlich wird der FinFET nicht mehr skaliert und die Chiphersteller mĂŒssen auf neue Transistoren umsteigen, nĂ€mlich Nanoblatt-FETs.

Nanoblatt-FETs nahmen 2017 Fahrt auf, als Samsung den 3-nm-Multi-Bridge-Channel-FET (MBCFET) einfĂŒhrte. MBCFETs sind Nanoblatt-FETs. In diesem Jahr werden Testproben hergestellt, und die industrielle Produktion wird 2022 beginnen.

TSMC arbeitet auch mit Nanoblatt-FETs, die eine Art von GAA-Transistor sind. Nanoblatt-FETs bieten einen leichten Vorteil fĂŒr die Skalierung von FinFETs bei 5 nm, haben jedoch mehrere Vorteile.

Der Nanoblatt-FET ist in der Tat ein FinFET, der zur Seite gelegt und mit VerschlĂŒssen umwickelt wird. Ein Nanoblatt besteht aus mehreren getrennten dĂŒnnen horizontalen Schichten, die ĂŒbereinander gelegt werden. Jedes Blatt ist ein separater Kanal.

Um jedes Blatt befindet sich ein Gate, und das Ergebnis ist ein Ringtransistor. Theoretisch bieten Nanoblatt-FETs eine höhere Leistung bei geringerer Leckage, da der Strom von vier Seiten der Struktur gesteuert wird.

AnfÀnglich werden ungefÀhr vier BlÀtter pro Nanoblatt-FET vorhanden sein. "Die Breite eines typischen Nanoblattes betrÀgt 12 bis 16 nm und die Dicke 5 nm", sagte Horiguchi.

Dies unterscheidet sich vom Nano-Sheet-FinFET. FinFET hat eine begrenzte Anzahl von Lamellen, was die Arbeit der Designer einschrĂ€nkt. „Der Vorteil eines Nanoblattes besteht darin, dass es in der Breite geĂ€ndert werden kann. Die Breite kann auf Wunsch des Designers ausgewĂ€hlt werden. Dies gibt ihnen etwas Freiheit. Sie können die beste Option fĂŒr das VerhĂ€ltnis von Energieverbrauch und Geschwindigkeit finden “, sagte Horiguchi.

Beispielsweise hat ein Transistor mit einem breiteren Blatt einen grĂ¶ĂŸeren Erregerstrom. Mit einem schmalen Blatt können Sie das GerĂ€t mit einem kleineren Feldstrom verkleinern.

Nanolithen sind mit der Nanodrahttechnologie verbunden, bei der DrÀhte als KanÀle dienen. Durch die Begrenzung der Kanalbreite wird der Feldstrom begrenzt.

Daher Nanoblatt-FET und an Dynamik gewinnen. Diese Technologie und der FinFET bei 3 nm weisen jedoch mehrere Probleme auf. „FinFET-Probleme hĂ€ngen mit der Quantenkontrolle der Rippenbreite und des Rippenprofils zusammen. Die Probleme von NanoblĂ€ttern hĂ€ngen mit dem P / N-Ungleichgewicht, der Effizienz des unteren Blechs, den Zwischenschichten zwischen den Blechen und der Kontrolle der VentillĂ€nge zusammen “, sagte Gene Kai, stellvertretender TSMC-Direktor, wĂ€hrend der PrĂ€sentation auf der IEDM.

Angesichts all dieser Schwierigkeiten wird es einige Zeit dauern, bis die Technologie des Nanoblatt-FET eingefĂŒhrt ist. "Der Übergang zu neuen Transistorarchitekturen hat viele Hindernisse", sagte Guerrero. "Dies erfordert definitiv neue Materialien."

In der einfachsten Version des Verfahrens beginnt die Herstellung eines Nanoblatt-FET mit der Bildung eines Übergitters auf einem Substrat. Das epitaktische Instrument platziert verschachtelte Schichten einer Silizium-Germanium-Legierung (SiGe) und Silizium auf einem Substrat. Der Stapel besteht aus mindestens drei SiGe-Schichten und drei Siliziumschichten.

Dann werden im Übergitter durch Strukturieren und Ätzen vertikale Rippen gebildet, was eine sehr genaue Prozesssteuerung erfordert.

Dann beginnt eine der schwierigsten Phasen - die Bildung von Innendichtungen. ZunĂ€chst werden die Ă€ußeren Teile der SiGe-Schichten bĂŒndig in das Übergitter eingebettet. Dadurch entstehen kleine Aussparungen, die mit Dielektrikum gefĂŒllt sind. "Innendichtungen werden benötigt, um die VentilkapazitĂ€t zu reduzieren", sagte Kai. "Ihre Herstellung ist ein wesentlicher Bestandteil des Prozesses."

Und solche Technologien gibt es bereits - IBM und TEL haben kĂŒrzlich eine neue Ätztechnik beschrieben, die sowohl fĂŒr interne Dichtungen als auch fĂŒr die Kanalproduktion geeignet ist. Hierzu wird isotropes TrockenĂ€tzen von SiGe mit einem VerhĂ€ltnis von 150: 1 verwendet.

Mit dieser Technologie erhalten Sie sehr genaue Innendichtungen. "Das Herstellen von Aussparungen in SiGe erfordert ein sehr selektives seitliches BlindÀtzen der Schichten", sagte Nicholas Loubet, F & E-Manager bei IBM.

Dann werden die Source und der Drain gebildet. Danach werden SiGe-Schichten durch Ätzen aus dem Übergitter entfernt. Siliziumschichten oder -schichten, aus denen die KanĂ€le bestehen, verbleiben. High-k- Materialien

werden in die Struktur eingebracht und schließlich werden MOL-Verbindungen gebildet, die das Nanoblatt ergeben.

Dies ist eine vereinfachte Beschreibung dieses komplexen Prozesses. Wie jede neue Technologie können NanoblÀtter jedoch fehleranfÀllig sein. ZusÀtzliche Untersuchungen und Messungen aller Schritte sind erforderlich.

"Wie bei frĂŒheren ÜbergĂ€ngen zwischen Technologien sehen wir Probleme im Zusammenhang mit der Untersuchung und Messung von NanoblĂ€ttern", sagte Chet Lenox, Direktor fĂŒr Prozessmanagementlösungen bei UCK. „Viele fehlerhafte ZustĂ€nde können sowohl in den inneren Dichtungen als auch in den NanoblĂ€ttern auftreten. IP-Hersteller benötigen die exakten GrĂ¶ĂŸen der einzelnen NanoblĂ€tter, nicht nur die durchschnittliche GrĂ¶ĂŸe jedes Stapels, um die VariabilitĂ€t ihrer Herstellungsprozesse zu verringern. “

Dies erfordert auch neue Technologien. Zum Beispiel haben Imec und Applied Materials kĂŒrzlich die Skalpell-Scanning-Spreading-Resistance-Mikroskopie (s-SSRM) -Technologie fĂŒr RingverschlĂŒsse eingefĂŒhrt. Bei der s-SSRM-Technologie bricht ein winziges Skalpell einen kleinen Teil der Struktur, und diesem Abschnitt können Dotierstoffe hinzugefĂŒgt werden.

Andere Optionen


Im Rahmen der Forschung und Entwicklung entwickelt Imec fortschrittlichere GAA-Typen wie CFETs und Forksheet-FETs fĂŒr 2 nm oder weniger.

Zu diesem Zeitpunkt wĂŒrde fĂŒr die meisten Hersteller die Skalierung von IPs zu teuer werden, insbesondere angesichts der verringerten Vorteile hinsichtlich Stromverbrauch und Geschwindigkeit. Daher werden fortschrittliche Chip-Layouts immer beliebter. Anstatt alle Funktionen in einem Einkristall zusammenzufassen, ist geplant, die GerĂ€te in kleinere Kristalle zu zerlegen und in fortschrittliche GehĂ€use zu integrieren.

"Es hĂ€ngt alles von der Anwendung ab", sagte Rich Rice, Senior Vice President fĂŒr GeschĂ€ftsentwicklung bei ASE. - Wir sehen definitiv eine Zunahme solcher Versuche, selbst bei technologischen Prozessen, die tief in SubmikrongrĂ¶ĂŸen eingedrungen sind. Diese Entwicklung wird fortgesetzt. Viele Unternehmen tun dies. Sie entscheiden, ob sie die 5-nm-Chips integrieren können und ob sie wollen. Sie suchen aktiv nach Wegen, um Systeme zu brechen. “

Das ist nicht so einfach. Außerdem gibt es mehrere Shell-Optionen mit verschiedenen Kompromissen - 2.5D, 3D-ICs, ChipsĂ€tze und Fan-Out.

Fazit


Auf jeden Fall wird nicht jeder solch fortschrittliche Herstellungsprozesse benötigen. Apple, HiSilicon, Intel, Samsung und Qualcomm setzen jedoch nicht umsonst auf fortschrittliche Technologien.

Verbraucher benötigen die neuesten und besten Systeme mit höherer Leistung. Die Frage ist nur, ob die neuen Technologien echte Vorteile zu einem erschwinglichen Preis bieten.

Source: https://habr.com/ru/post/undefined/


All Articles